EDA课程设计-抢答器 下载本文

内容发布更新时间 : 2024/3/29 16:51:55星期一 下面是文章的全部内容请认真阅读。

燕山大学

课 程 设 计 说 明 书

题目: 抢答器

学院(系): 电气工程学院 年级专业:10级应用电子技术4班 学 号: 学生姓名: 指导教师:

教师职称: 实验师 实验师

燕 山 大 学 课 程 设 计 说 明 书 燕山大学课程设计(论文)任务书

院(系):电气工程学院 基层教学单位:电子实验中心 学 号 设计题目 设 计 技 术 参 数 设 计 要 求 学生姓名 抢答器 专业(班级) 10级应电4班 ●五人参赛每人一个按钮,主持人一个按钮,按下开始,具有复位功能; ●抢中者对应的指示灯亮;用点阵显示抢中者序号; ●有人抢答时,蜂鸣2s。 ●答题时限为10秒钟,从有人抢答开始,用数码管倒计时间10、9、8。。1、0; 倒计时到0时,蜂鸣2秒。 ●用拨码开关设定主持人及参赛者按钮; ●用红色信号指示灯组L1-L5表示对应参赛者指示灯; ●用点阵显示抢中者序号。 ●学会使用Max+PlusII软件、Verilog HDL语言和实验箱; ●独立完成电路设计,编程下载、连接电路和调试; ●参加答辩并书写任务书。 工 作 量 1. 了解EDA的基本知识,学习使用软件Max+PlusII,下发任务书,开始电路工 作 计 划 2. 3. 4. 5. 6. 设计; 学习Verilog HDL语言,用Verilog HDL进行程序设计 学习使用实验箱,继续电路设计; 完成电路设计; 编程下载、连接电路、调试和验收; 答辩并书写任务书。 参 考 资 料 《数字电子技术基础》.阎石主编.高等教育出版社. 《EDA课程设计A指导书》. 张强 吕宏诗 基层教学单位主任签字 指导教师签字 金海龙 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。

2012年 12 月 24 日

第 1 页 共19页

燕 山 大 学 课 程 设 计 说 明 书

目 录

第一章 引言…………………………………………………………………………………3 第二章 设计说明……………………………………………………………………………3 第三章 verilog HDL设计源程序…………………………………………………………5 第四章 波形仿真图 ………………………………………………………………………13 第五章 管脚锁定及硬件连线 ……………………………………………………………16 第六章 总结 ………………………………………………………………………………17 参考文献 ……………………………………………………………………………………18

第 2 页 共19页

燕 山 大 学 课 程 设 计 说 明 书 第一章 引言

EDA是电子设计自动化(Electronic Design Automation)的缩写,是20世纪90年代初以计算机硬件和软件为基本工作平台,及数据库、图形学、图论、与拓扑逻辑、计算数学、优化理论等多学科最新成果研制的计算机辅助设计通用软件包,用于电子产品的自动设计。

现在对EDA的概念或范畴用的很宽,电子、机械、通信、航空航天、化工、矿产、生物、医学、军事等各个领域都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如,在飞机制造过程中,从设计、性能测试及特性分析到飞行模拟,都可能涉及EDA技术。这里所指的EDA技术主要针对数字逻辑电路设计。

EDA技术研究的对象是电子设计的全过程,包括系统级、电路级、和物理级各个层次的设计。从可编程逻辑器件和专用集成电路开发与应用角度,EDA系统包含一下子模块:设计输入子模块、设计数据库子模块、分析验证子模块、综合仿真子模块、布局布线子模块。

第二章 设计说明

1.1 设计思路

本次EDA课程设计的题目是抢答器。要求实现5人抢答功能:有人抢答时蜂鸣2秒,用L1-L5表示对应参赛者指示灯;用点阵显示抢中者序号;答题时限为10秒,从有人抢答开始用数码管倒计时10秒,倒计时结束后再蜂鸣两秒;主持人控制复位键,复位后进入下一轮抢答。

根据任务书的要求,当有第一个抢答信号时,将对应的端口置为高电平,同时产生锁定信号将其余抢答者的信号屏蔽,端口连接红色信号指示灯组L1-L5。同时作为蜂鸣器、点阵和数码管的输入信号。当主持人复位按钮按下时,将所有端口置0,即可开始下一轮抢答。

当端口x1、x2、x3、x4、x5有信号或bit有信号时,即有人抢答或倒计时到零时,给蜂鸣器高电平使其发声,同时利用对CLK信号的分频控制延时,延时结束给蜂鸣器低电平。

第 3 页 共19页

燕 山 大 学 课 程 设 计 说 明 书 点阵模块根据输入的x1、x2、x3、x4、x5信号,依次产生对应的点阵控制信号GA和ROW。

数码管模块根据输入的x1、x2、x3、x4、x5信号,即当有人抢答时,通过对CLK信号的分频产生10秒倒计时,并将倒计时数值送往动态数码管显示。

本次设计包括A、B、C、D、E、M、CLK六个输入和L1、L2、L3、L4、L5、GA、ROW、WX、DX、bee十个输出。其中GA、ROW为八位,7为高位,0为低位;WX为三位,2为高位,0为低位;DX为七位,6为高位,0为低位。L1、L2、L3、L4、L5与红色信号指示灯组相连;RA、ROW与点阵的控制端RA、ROW相连;WX与动态数码管的位选信号SS0、SS1、SS2相连;DX与动态数码管的段选信号A、B、C、D、E、F、G相连;bee与蜂鸣器SREAKER相连。 1.2 模块介绍

本次课程设计的模块包括抢答部分、点阵显示部分、数码管倒计时部分和蜂鸣器部分。

抢答部分利用always块对每个CLK的上升沿敏感,利用外层的if语句判断主持人按钮M是否按下,若没有按下进入内层if语句,对5个抢答者的信号依次进行判定并产生输出信号x1至x5及锁定信号lock。理论上可分辨时间相差0.001秒的抢答信号,对于实际应用来说已经够用了,提高CLK频率可以进一步提高分辨率。

点阵显示部分采用if循环,控制在每个CLK的上升沿计数值加1,构成八进制计数器,得到点阵的扫描信号;if语句嵌套case语句将抢答者情况罗列出来,对ROW、GA依次进行赋值。

数码管倒计时部分首先利用if语句对CLK信号进行1024分频,产生1Hz的信号,从而产生倒计时Se,并通过判断Se是否大于10而将其分为十位数字和个位数字Se_shi和Se_ge,然后通过动态扫描数码管,分别将Se_shi和Se_ge送往8号数码管和7号数码管,在两个数码管上显示倒计时的十位与个位。

蜂鸣器部分在每个CLK的上升沿判断是否有人抢答或者倒计时是否结束,若有信号转入循环延时,同时蜂鸣器开始响,对CLK进行分频,延时结束后,蜂鸣器无声。

第 4 页 共19页