模拟IC设计进阶教学大纲 下载本文

内容发布更新时间 : 2024/6/27 15:31:33星期一 下面是文章的全部内容请认真阅读。

《模拟IC设计进阶》教学大纲

第一章 模拟IC设计进阶课程内容简介(2学时)

1. 低功耗蓝牙(BLE)Transceiver系统结构 2. 射频Transceiver中低频模拟电路介绍 3. CMOS工艺有源及无源器件介绍 4. gm/Id设计方法介绍及曲线仿真 5. Bandgap电路仿真及版图设计 6. LDO电路仿真及版图设计

7. 有源低通滤波器(LPF)仿真及版图设计 8. Cadence软件64bit仿真环境配置 9. 虚拟机平台使用及课程设计资料导入

第二章 CMOS工艺有源及无源器件介绍 (1学时)

1. MOS晶体管 2. BJT晶体管 3. 各类电阻

4. MIM电容和MOM电容 5.平面螺旋电感

6.变容二极管和变容MOS管

第三章 gm/Id设计方法介绍及曲线仿真 (1学时)

1. 基于查找表方式的gm/Id设计理念介绍 2. 固定L的gm/Id曲线仿真 3. ocean脚本仿真gm/Id曲线族

第四章 Bandgap电路仿真及版图设计 (6学时)

1. Bandgap参考电路基本原理分析 2. 电路原理图设计 2.1 Bandgap核心电路搭建

1

2.2 Bandgap中运算放大器搭建 2.3 Bandgap启动电路设计 3.电路原理图仿真方法 3.1 dc仿真及直流工作点查看 3.2 dc仿真温度参数扫描及温漂计算 3.3 stable稳定性仿真闭环参数及频率补偿 3.4 ac电源抑制比仿真 3.5 noise仿真噪声分析 4.可靠性设计 4.1 输入电压范围仿真 4.2 工艺偏差corner仿真 4.3 tran瞬态启动过程仿真 5. Bandgap版图设计 5.1 版图布局设计

5.2 差分对、电流镜、BJT、电阻版图匹配设计 5.3 版图连线、Multipart Path及guardring设计 6. calibre版图验证 6.1 drc及天线效应验证 6.2 lvs验证 7. 电路后仿真优化 7.1 calibre寄生参数提取 7.2 电路后仿真方法

第五章 LDO电路仿真及版图设计 (4学时)

1. LDO电路基本原理分析 2.LDO电路原理图设计 2.1 运算放大器设计

2.2 动态偏置源极跟随buffer设计 2.3 功率管及片外滤波电容设计 3.电路原理图仿真

2

3.1 dc仿真及直流工作点优化 3.2 stable稳定性仿真闭环参数 3.3 ac电源抑制比仿真 3.4 noise仿真噪声分析 3.5 tran瞬态响应特性仿真

3.6 Bandgap和LDO联合仿真 4.可靠性设计 4.1 输入电压范围仿真 4.2 工艺偏差corner仿真 5. LDO版图设计 5.1 版图布局设计

5.2 差分对、电流镜版图匹配设计 5.3 功率管版图及大电流走线设计 6. calibre版图验证 6.1 drc及天线效应验证 6.2 lvs验证 7. 电路后仿真优化 7.1 calibre寄生参数提取 7.2 电路后仿真方法

第六章 有源低通滤波器(LPF)仿真及版图设计 1. 滤波器基本理论分析 2. 有源RC滤波器电路结构分析 3. 全差分运算放大器设计 3.1 核心电路原理图 3.2 共模负反馈电路设计 3.3 全差分运放ac仿真

3.4 共模负反馈稳定性仿真分析 3.5 电源抑制比仿真分析 3.6 噪声仿真分析

3

4学时) (