基于CPLD的七段显示译码器设计实验说明 下载本文

内容发布更新时间 : 2024/5/2 0:49:32星期一 下面是文章的全部内容请认真阅读。

基于CPLD的七段显示译码器设计

1.新建工程。

① 为便于工程管理在桌面新建文件夹。

双击桌面Quartus Ⅱ图标,点击Greate a New Project—>Next—>弹出窗口

② new of project:seg7_4

③ entity name: seg7_4(软件默认与上述new of project一致) ④next—>弹出“目标器件设置”窗口

Family:MAXⅡ (MAX7000S) 器件型号:EPM240T100C5 (EPM7128SLC84-15)

⑤next—>next—>finish

或者进入主界面,File—>New Project Wizard—>Next—>弹出窗口 重复上述②③④⑤。

2. 编辑七段显示译码器的VHDL文件。

主菜单File—>New—>选择VHDL File —>OK—>进入VHDL文本编辑窗,在其中键入下列设计文件(Copy即可)。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; Entity seg7_4 is

PORT ( BCD_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0); --输入四位BCD码 SG_out : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); --输出七位字形码 END;

ARCHITECTURE one OF seg7_4 IS BEGIN

PROCESS(BCD_in) BEGIN

CASE BCD_in IS

WHEN \ WHEN \ WHEN \ WHEN \ WHEN \ WHEN \ WHEN \

WHEN \ WHEN \ WHEN \ WHEN \ WHEN \ WHEN \ WHEN \ WHEN \ WHEN \ WHEN OTHERS => NULL ; END CASE ;

END PROCESS; END;

3.全程编译。

在新建文件夹中保存上述VHDL设计文件。主菜单Processing—>Start compilation或者点击图标▲。 4.逻辑功能仿真。

①主菜单File—>New—>在Other Files项中选择Vector Waveform File,打开波形编辑器窗口。

②在该窗口左区Name下方空白处鼠标右键点击Insert—>Insert Node or Bus

—>Node Finder—>在

Filter栏中选择Pins:all—>点击List—>选择、添加左侧栏

中的输入输出—>OK—>在打开的Insert Node or Bus窗口Radix栏中选择Hexadecimal(即十六进制数) —>OK。

③编辑输入波形。

主菜单Edit—>End Time—>设定时间区域为1μs。

选择View→Fit in Window即可在波形编辑窗口内看见整个时间区域1μs,或者在窗口左侧功能区点击Zoom Tool图标,按鼠标右键或左键于波形编辑窗口可以缩小或放大时间区域。

在波形编辑窗口左侧功能区点击Waveform Edit Tool图标,在输入波形编辑区按下鼠标左键向右拖,于变蓝区域右键点击Value—>Arbitrary Value,在打开

的窗口Numeric or named value栏中依次分别键入0、1、2??a、b~f。保存。

④启动仿真器:主菜单Processing—>Srart Simulation。 仿真结果示于波形编辑区。 5.器件引脚锁定。

注意:只有空心圆引脚可用,其他异形或实心圆引脚不能用。

主菜单Assignment—>Pins—>在弹出的“Pin Planner”管脚编辑窗的表Location栏中双击,下拉选择相应的引脚号或者直接双击芯片引脚进行锁定。 6.再次启动全程编译。

主菜单Processing—>Start compilation或者点击图标▲。 7.编程下载。

主菜单Tools—>Programmer

弹出对话框,在Mode栏中选择下载模式JTAG(默认)—>点击Hardware Setup按钮—>设置为“USB-Blaster”方式—>在Program/configure点选√—>点击start,直至下载完成。

控制面板—>添加硬件—>硬件添加向导对话框,点到“是,我已经连接了此硬件”—>下一步—>硬件添加向导对话框,已安装硬件列表中出现 “?Altera USB-Blaster”—>安装

驱动安装目录:C:\\altera\\71\\quartus\\drivers\\ usb-blaster\\x32 8.硬件电路测试。

将CPLD芯片上锁定为输入的4个管脚分别连到4个开关、锁定为输出的7个管脚SG_out(6) ~ SG_out(0) 分别连到数码管g~a引脚(注意部分设备上的数码管公共引脚COM端需要接GND)—>打开设备电源。 9.实验结果。

拨动4个开关改变输入状态:0000~1111,在数码管上显示相应的字符0~F。