可编程逻辑器件与硬件描述语言 下载本文

内容发布更新时间 : 2024/5/18 18:20:01星期一 下面是文章的全部内容请认真阅读。

组合逻辑电路实验(一)实验报告

一.实验名称:3-8译码器设计 二.实验目的

1.掌握ISE开发工具的使用,掌握FPGA开发的基本步骤; 2.掌握组合逻辑电路设计的一般方法; 3.掌握程序下载方法,了解UCF文件的格式;

4.初步了解开发板资源,掌握开发板使用方法。重点了解滑动开关和LED显示灯的使用方法。

三.实验内容

1.用VHDL实现3-8译码器模块

译码器电路如图2-1所示。其功能如表2-1所示。试用VHDL实现该译码器,并在开发板上进行检验。

A B C 3-8 译码器 EN Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7

图2-1 3-8译码器

表2-1 译码器功能表 EN 1 0 0 0 0 0 0

Y4 0 0 0 0 0 1 0 Y3 0 0 0 0 1 0 0 Y2 0 0 0 1 0 0 0 Y1 0 0 1 0 0 0 0 Y0 0 1 0 0 0 0 0 1

A X 0 0 0 0 1 1 B X 0 0 1 1 0 0 C X 0 1 0 1 0 1 Y7 0 0 0 0 0 0 0 Y6 0 0 0 0 0 0 0 Y5 0 0 0 0 0 0 1

0 0 1 1 1 1 0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 2.将程序下载到FPGA并进行检验

(1)资源使用要求:用滑动开关SW3~SW1作为输入A,B,C;滑动开关SW0控制EN;8个LED灯表示8个输出。

(2)检验方法:当SW0处于ON(EN=1)位置时,所有LED灯灭;当SW0处于OFF(EN=0),反映当前输入的译码输出在LED灯上显示,即当输入为000(滑动开关SW3-SW1处于OFF状态),LED0亮,其它灯灭,等等。

四.实验步骤

1.启动ISE,新建工程文件,编写3-8译码器的VHDL模块; 2.新建UCF文件,输入位置约束; 3.完成综合、实现,生成下载文件;

4.连接开发板USB下载线,开启开发板电源; 5.下载到FPGA;

6.拨动开关,验证结果是否正确。

五.主要vhdl代码

architecture Behavioral of coder_38 is --3-8译码器行为级描述 signal x:STD_LOGIC_VECTOR (2 downto 0); begin

x <= A&B&C;

2

process(en,x) begin if(en='0')then

case x is

when \ when \

when \

when \when \when \when \when \when others => NULL; end case;

else Y<=\end if;

end process; end Behavioral; 六.心得体会

这次实验内容比较简单,主要是为了熟悉Xilinx ISE及FPGA实验板的使用方法,了解整个开发流程。ISE是一款功能强大的FPGA设计软件,在实际的设计过程中要注意工程中的FPGA开发板型号等参

3