南理工EDA(Ⅱ)实验报告 ——多功能数字钟设计资料 下载本文

内容发布更新时间 : 2024/6/26 10:20:22星期一 下面是文章的全部内容请认真阅读。

EDA(Ⅱ)实验报告

——多功能数字钟设计

指导老师: 谭 雪 琴 学 院: 自动化学院 班 级: 9121102002 姓 名: 袁佳泉 学 号: 912110200330

摘要

1

该实验是利用QuartusII软件设计一个数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。报告将介绍设计思路与过程,并对每个模块

化进行波形输入输出的分析与检验。

关键字:Quartus 数字钟 多功能 仿真

Abstract

This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-holding and belling on the hour. And then validated the design on the experimental board.We will talk about the way we design the clock and analyze the input and output of each module.

Key words: Quartus Digital-clock Multi-function Simulate

一、 设计要求

1. 设计一个数字计时器,可以完成00:00:00到23:59:59的计时功能,并

在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时

2

等基本功能。 2. 具体要求如下:

1) 能进行正常的时、分、秒计时功能,最大计时显示23小时59分59

秒。

2) 分别由六个数码管显示时分秒的计时。

3) K1是系统的使能开关,K1=0正常工作,K1=1时钟保持不变。 4) K2是系统的清零开关,K2=0正常工作,K2=1时钟的分、秒全清零。 5) 在数字钟正常工作时可以对数字钟进行快速校时和校分。K3是系统

的校分开关,K3=0正常工作K3=1时可以快速校分;K4是系统的校时开关,K4=0正常工作,K4=1时可以快速校时。

3. 设计提高部分要求

时钟具有整点报时功能,当时钟计到59’51”时开始报时,在59’51”,59’53”, 59’55”,59’57” 时报时频率为500Hz,59’59”时报时频率为1KHz。 4. 仿真与验证

用Quartus软件对设计电路进行功能仿真,并下载到实验板上对其功能进行验证。

二、 工作原理

数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几部分组成的,控制电路按要求可由校分校时电路、清零电路和保持电路组成。其中,脉冲发生电路将试验箱提供的48Mhz的频率分成电路所需要的频率;计时电路与动态显示电路相连,将时间与星期显示在七段数码管上,并且驱动蜂鸣器整点报时;校时校分电路对时、分提供快速校时;清零电路作用时,系统的分秒时同时归零;保持电路作用时,系统停止计时并保持时间不变。

其原理框图如图所示:

译码显示电路 脉冲发生电路 计时电路 3 报时电路