eda实验1:七段数码显示译码器设计 下载本文

内容发布更新时间 : 2024/5/2 19:21:34星期一 下面是文章的全部内容请认真阅读。

电子设计自动化实验报告 姓名:路传涛 学号:201215728 时间 11-12 第四大节 地点S-5B208

七段数码显示译码器设计

1.实验目的:

学习7段数码显示译码器设计,学习VHDL的多层次设计方法。

2. 实验原理:

七段数码管由8个(a,b,c,d,e,f,g,dp)按照一定位置排列的发光二极管构成,通常采取共阴极或者共阳极的设计,将8个二极管的同一极接在一起,通过分别控制另外的8个电极的电平,使二极管导通(发光)或截止(不发光)。 七段数码显示译码器的功能就是根据需要显示的字符,输出能够控制七段数码管显示出该字符的编码。

3. 实验仪器:

EDA6000通用实验开发系统,P4电脑

4. 实验内容:

1)用VHDL设计7段数码管显示译码电路,并在VHDL描述的测试平台下对译码器进行功能仿真,给出仿真的波形。 程序: LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY SMG IS

PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

---------------------------------------------------------------------

电子设计自动化实验报告 姓名:路传涛 学号:201215728 时间 11-12 第四大节 地点S-5B208

END;

ARCHITECTURE ONE OF SMG IS BEGIN PROCESS(A) BEGIN CASE A IS

WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN\WHEN OTHERS=>NULL; END CASE;

仿真波形:

电子设计自动化实验报告 姓名:路传涛 学号:201215728 时间 11-12 第四大节 地点S-5B208

2)数码管显示电路设计

利用以上设计的译码器模块,设计一个8位的显示电路。

利用时分复用的方式快速轮流点亮8个数码管,在视觉上形成8个数码管同时显示的效果(尽管实际上同一时间只有一个数码管被点亮)。

要实现以上功能,就必须按照一定时钟节拍,轮流使译码器输出所需要字符的编码;同时控制数码管的公共电极电平,轮流点亮数码管。

备注:在新试验箱中可酌情减少位数实现,新试验箱中管脚查阅教材中附录。 程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY VHDL42 IS PORT(CLK:IN STD_LOGIC;

S:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); D:IN STD_LOGIC_VECTOR(3 DOWNTO 0); A:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END VHDL42;