半导体专业用语 下载本文

内容发布更新时间 : 2024/6/26 19:28:40星期一 下面是文章的全部内容请认真阅读。

页眉内容

金属前介质层(PMD) 金属间介质层(IMD) W塞 (W PLUG) 钝化层(Passivation)

acceptor 受主,如B,掺入Si中需要接受电子 Acid:酸 actuator激励

ADI After develop inspection显影后检视 AEI After etching inspection蚀科后检查 AFM atomic force microscopy 原子力显微 ALD atomic layer deposition 原子层淀积 Align mark(key):对位标记 Alignment 排成一直线,对平 Alloy:合金 Aluminum:铝 Ammonia:氨水 Ammonium fluoride:NHF Ammonium hydroxide:NHOH Amorphous silicon:α-Si,非晶硅(不是多晶硅) amplifier 放大器 AMU 原子质量数 Analog:模拟的 analyzer magnet 磁分析器 Angstrom:A(E-m)埃 Anisotropic:各向异性(如POLY ETCH) Antimony(Sb)锑 arc chamber 起弧室 ARC: anti-reflect coating 防反射层 Argon(Ar)氩 Arsenic trioxide(AsO)三氧化二砷 Arsenic(As)砷 Arsine(AsH) ASHER 一种干法刻蚀方式 Asher:去胶机 ASI 光阻去除后检查 ASIC 特定用途集成电路 Aspect ration:形貌比(ETCH中的深度、宽度比) ATE 自动检测设备

Back end:后段(CONTACT以后、PCM测试前) Backside Etch 背面蚀刻 Backside 晶片背面 Baseline:标准流程

Beam-Current 电子束电流 Benchmark:基准

BGA ball grid array 高脚封装 Bipolar:双极

Boat:扩散用(石英)舟

Cassette 装晶片的晶舟

CD:critical dimension 关键性尺寸,临界尺寸 Chamber 反应室 Chart 图表 Child lot 子批 chiller 制冷机 Chip (die) 晶粒

Chip:碎片或芯片。 clamp 夹子 CMP 化学机械研磨 Coater 光阻覆盖(机台) Coating 涂布,光阻覆盖 Computer-aided design(CAD):计算机辅助设计。 Contact Hole 接触窗 Control Wafer 控片 Correlation:相关性。 Cp:工艺能力,详见process capability。 Critical layer 重要层 CVD 化学气相淀积 Cycle time 生产周期 Defect density:缺陷密度。单位面积内的缺陷数。 Defect 缺陷 DEP deposit 淀积 Depth of focus(DOF):焦深。 Descum 预处理 Developer 显影液;显影(机台) developer:Ⅰ)显影设备; Ⅱ)显影液 Development 显影 DG dual gate 双门 DI filter 离子交换器 DI water 去离子水 Diffusion 扩散 disk 靶盘 disk/flag faraday 束流测量器 Doping 掺杂 Dose 剂量

Downgrade 降级

DRC design rule check 设计规则检查 Dry Clean 干洗 Due date 交期

Dummy wafer 挡片 E/R etch rate 蚀刻速率 EE 设备工程师

ELS extended life source 高寿命离子源 enclosure 外壳

1页脚内容

页眉内容

BPSG 含有硼磷的硅玻璃

Break 中断,stepper机台内中途停止键 cassette 晶片盒 End Point 蚀刻终点

e-shower 中性化电子子发生器 ET etch 蚀刻

Exhaust 排气(将管路中的空气排除) Exposure 曝光

extrantion electrode 高压吸极 FAB 工厂

fab:常指半导体生产的制造工厂。 FIB focused ion beam 聚焦离子束 Field Oxide 场氧化层 filament 灯丝 film:薄膜,圆片上的一层或 多层迭加的物质。 flat aligener 平边检测器 flat:平边

flatband capacitanse:平带电容 flatband voltage:平带电压 Flatness 平坦度 flow coefficicent:流动系数 flow velocity:流速计 flow volume:流量计 flux:单位时间内流过给定面积的颗粒数 Focus 焦距 forbidden energy gap:禁带 Foundry 代工 four-point probe:四点探针台 FSG 含有氟的硅玻璃 functional area:功能区 Furnace 炉管 gate oxide:栅氧 glass transition temperature: 玻璃态转换温度 GOI gate oxide integrity 门氧化层完整性 gowning:净化服 gray area:灰区

gyro drive 两方向偏转

hard bake:后烘 ,坚烘,soft bake (软烘) HCI hot carrier injection 热载流子注入 HDP:high density plasma 高密度等离子体

heat exchange 热交换机 High-Voltage 高压 host:主机 Hot bake 烘烤

metal n 金属

ICP inductive couple plasma

Metal Via 金属接触窗

感应等离子体

MFG 制造部

ID 辨认,鉴定

Mid-Current 中电流

IGBT 绝缘门双极晶体管

Module 部门

images:去掉图形区域的版

nanometer (nm) n :纳米

implant 注入

nanosecond (ns) n :纳秒

Implant 植入

NIT SiN 氮化硅

impurity n 掺杂

nitride etch n :氮化物刻蚀

impurity:杂质 nitrogen (N ) n: 氮气,一种双原子 inductive coupled plasma(ICP):感应等离子体 气体 Non-critical 非重要 inert gas:惰性气体 NP n-doped plus(N+) N型重掺杂 initial oxide:一氧 n-type adj :n型 insulator:绝缘 NW n-doped well N阱 isolated line:隔离线 OD oxide definition 定义氧化层 junction 结 ohms per square n:欧姆每平方 方 junction spiking n 铝穿刺 块电阻 kerf 划片槽 OM optic microscope 光学显微镜 landing pad n PAD OOC 超出控制界线 Layer 层次 OOS 超出规格界线 LDD lightly doped drain orientation n: 晶向,一组晶列所指轻掺杂漏 的方向 liner drive 直线往复运动 Over Etch 过蚀刻 lithography n 制版 loadlock valve 靶盘腔装片阀 Over flow 溢出 Local defocus 局部失焦因机 overlap n : 交迭区 Overlay 测量前层与本层之间曝光台或晶片造成之脏污 的准确度 LOCOS local oxidation of silicon 局部氧化 OX SiO 二氧化硅 P poly 多晶硅 Loop 巡路 Lot 批 PA; passivation 钝化层 Parent lot 母批 LP(低压)淀积多晶硅(LPPOLY) mainframe 主机 Particle 含尘量/微尘粒子 maintainability, equipment PH photo 黄光或微影 设备产能 phosphorus (P) n :磷 ,一种有毒 maintenance n 保养 的非金属元素 majority carrier n photomask n :光刻版,用于光刻的多数载流子 版 Mask (reticle) 光罩 photomask, negative n:反刻 masks, device series of n photomask, positive n:正刻 一成套光刻版 Pilot 实验的 material n 原料 PVD 物理气相淀积 matrix n 矩阵 PW p-doped well P阱 mean n 平均值 quad rupole lens 磁聚焦透镜 measured leak rate n 测得漏率 quartz carrier n 石英舟。 median n 中间值 Queue time 等待时间 memory n 记忆体 内层介电层(ILD)、 Merge 合并 内金属介电层(IMD)

2页脚内容

页眉内容

hot carriers:热载流子 hydrophilic:亲水性 hydrophobic:疏水性

Ground Bounce 地弹反射 pn junction n:pn结 BIST,Built-in Self Test 内建的自测试

GUI,Graphical User Interface 图 Pod 装晶舟与晶片的盒子 Bus Route 总线布线

形用户界面 Polymer 聚合物 Carbide碳

Harmonica 射频微波电路仿真 POR Process of record circuit diagram 电路图

HFSS 三维高频结构电磁场仿真 post accel 后加速器 Plasma 电浆 Circuit 电路基准

HMDS (六甲基二硅胺):涂胶前 PMD premetal dielectric 电容 Clementine 专用共形开线设计

处理,增加圆片衬底与光刻胶的PP p-doped plus(P+) P型重掺杂 Cluster Placement 簇布局

粘附性 PR Photo resisit 光阻 CM 合约制造商 IC Integrate Circuit 集成电路 PR photo resist 光阻 COF Chip On FPC 将IC固定于柔性线路板上 Image Fiducial 电路基准 pure water n 纯水。 COG Chip On Glass 将芯偏固定于玻璃上 Impedance 阻抗 半导体生产中所用之水。 Common Impedance 共模阻抗 In-Circuit-Test 在线测试 PVD 物理气相淀积 component video - 分量视频 Initial Voltage 初始电压 PW p-doped well P阱 Composite video - 复合视频 Input Rise Time 输入跃升时间 quad rupole lens 磁聚焦透镜 Concurrent 并行设计 Inverter - 逆变器 quartz carrier n 石英舟。 Constant Source 恒压源 Jumper 跳线 Queue time 等待时间 Cooper Pour 智能覆铜 LCD Liquid Crystal Display 液晶QTIME-DUMMY:从此步骤到 Crosstalk 串扰 显示 下一个步骤一共停留的时间范 CRT Cathode Radial Tude 阴极射线管 LCM Liquid Crystal Module 液围(超出范围会出问题) DC Magnitude 直流幅度 晶模块 显影前烘焙 (PEB):降低或消除 Delay 延时 LED Light Emitting Diode 发光驻波效应 Delays 延时 二极管 R/C runcard 运作卡 Design for Testing 可测试性设计 Linear Design Suit 线性设计软件SOG是一种相当简易的平坦化技 Designator 标识 术。因为介电层材料是以溶剂的 DOF焦深 Depth Of Focus,区分IDOF、UDOF 包 Local Fiducial 个别基准 形态覆盖在硅片表面,因此 前者只有中心,后者包括四角 manufacturing 制造业 SOG对高低起伏外观的“沟 DFC,Design for Cost 面向成本的设计 MCMs,Multi-Chip Modules 多芯填能力”非常好,可以避免纯粹 DFR,Design for Reliability 面向可靠性的设计 片组件 以CVD法制作介质层时所面 DFT,Design for Test 面向测试的设计 MDE,Maxwell Design 临的孔洞问题 DPI Dot Per Inch 点每英寸 Environment Spacer :SPACER工艺是通 DSM,Dynamic Setup Management 动态设定管理 Merge 合并 过LPTEPS ETCH BACK , DVI Digital Visual Interface (VGA)数字接口 MFG 制造部 在PLOY侧壁形成两个侧壁 Dynamic Route 动态布线 Nonlinear Design Suit 非线性设突出的工艺,用于源漏区注 Electro Dynamic Check 动态电性能分析 计软件包 入的自对准和减少由于源 Electromagnetic Disturbance 电磁干扰 NVT:N MOS 调阈值电压 漏横向扩散形成的沟道效应。 Electromagnetic Noise 电磁噪声 ODB++ Open Data Base 公开数LPTEOS主要用于SPACER及 EMC,Elctromagnetic Compatibilt 电磁兼容 据库

EMI,Electromagnetic Interference 电磁干扰 电容氧化层。 OEM 原设备制造商 Emulation 硬件仿真 OLE Automation 目标连接与嵌

TEOS = Si(O C2H5)4 Ensemble 多层平面电磁场仿真 入

ESD 静电释放 名称:正硅酸乙脂,又称 On-line DRC 在线设计规则检查 Expansion膨胀 ONO:氧化层-氮化层-氧化层介

四乙氧基硅烷 Fall Time TM top mental 下降时间 质;用作电容介质 顶层金属层

False Clocking 假时钟下冲 Si(O C2H5)4 → Optimetrics 优化和参数扫描 Undershoot FEP 氟化乙丙烯 OSD On Screen Display 在屏上Uniform Distribution 均匀分布 FFT,Fast Fourier Transform 显示 Overshoot 过冲 Variant 派生 快速傅里叶变换 Float License 网络浮动 PAC感光化合物 VDMOS( Vertical conduction Double scattering Metal Oxide Semiconductor)垂直

3页脚内容 Frequency Domain 频域 Panel fiducial 板基准 导电双扩散型晶体管

Gaussian Distribution 高斯分布 视频图形陈列 VGA Video Graphic Anay

VIA-Vendor Integration Alliance 程序框架联盟