基于FPGA的电子琴制作 下载本文

内容发布更新时间 : 2024/9/21 4:21:06星期一 下面是文章的全部内容请认真阅读。

基于FPGA的电子琴制作

【摘要】

文章介绍了基于FPGA的电子琴的工作原理和设计过程。用Altera公司的CycloneⅢ系列的EP3C16F484C6N芯片为核心器件,通过超高速硬件描述语言VHDL,在QuartusⅡ9.0平台上,实现了电子琴的手动弹奏和自动演奏功能。本系统主要由音乐输入模块(music.vhd),音调发生模块(tone.vhd)和音频播放模块(speaker.vhd)组成。拨动开关选择手动弹奏模式时,通过拨动音符开关和音调选择后就会选择相应的频率输出;拨动开关选择自动演奏模式时,音乐输入模块会将编写好的音乐简谱依次取出,去选通各个对应的频率输出,实现自动演奏。音频播放模块由分频器来实现,可产生21个频率,分别为低中音各7个音符,通过喇叭发出声音。

【关键词】

FPGA ;QuartusⅡ ;VHDL ;电子琴 ; 音符

目录

第一章 引言

1.1 课题解析

1.2 VHDL语言与QuartusⅡ环境简介

1.2.1 VHDL语言简介 1.2.2 QuartusⅡ环境简介

第二章 原理分析

2.1 电子琴设计原理 2.2 系统设计的主要组成部分

第三章 硬件设计

3.1 现场可编程门阵列FPGA简介 3.2 按键模块及其功能 3.3 显示模块及其功能

第四章 软件设计

4.1 系统的流程

4.2 设计模块

4.2.1音乐输入模块(music.vhd) 4.2.2音调发生模块(tone.vhd)

4.2.3音频播放模块(speaker.vhd)

第五章 软硬件的系统测试

第六章 心得体会

6.1实验中遇到的问题和解决过程 6.2 电子琴的进一步改进计划

附录Ⅰ 各个模块程序以及顶层原理图 附录Ⅱ 内置音乐简谱

第一章 引言

电子琴作为音乐与科技的产物,在电子化和信息化的时代,为音乐的大众化做出了很大的贡献,歌曲的制作大多数都要由电子琴来完成,然后通过媒介流传开来,电视剧和电影的插曲、电视节目音效、甚至你的手机铃声,都很可能包含电子琴的身影。