基于FPGA的信号发生器设计 下载本文

内容发布更新时间 : 2024/5/21 19:51:39星期一 下面是文章的全部内容请认真阅读。

基于FPGA的信号发生器设计报告

目录

一、设计要求 ............................................................................................................... 3

1. 基本要求.................................................................................................... 3

二、设计方案 ............................................................................................................... 3 三、系统基本原理 ....................................................................................................... 4

3.1函数信号发生器的几种实现方式.................................................................. 4

3.1.1程序控制输出方式............................................................................... 4 3.1.2 DMA输出方式....................................................................................... 5 3.1.3可变时钟计数器寻址方式................................................................... 5 3.1.4直接数字频率合成方式....................................................................... 6 3.2频率合成器简介.............................................................................................. 6

3.2.1频率合成技术概述............................................................................... 6 3.2.2频率合成器主要指标........................................................................... 7 2.3 DDS原理.......................................................................................................... 8

3.3.1相位累加器........................................................................................... 8 3.3.2波形ROM.............................................................................................. 10 3.3.3 DDS频率合成器优缺点..................................................................... 10

四、单元模块设计 ..................................................................................................... 11

4.1系统框图................................................................................................. 11 4.2相位累加器与相位寄存器的设计......................................................... 12 4.3波形ROM的设计..................................................................................... 13 4.4频率控制模块的设计............................................................................. 16 4.5 D/A转换器............................................................................................. 17 4.6滤波模块................................................................................................. 19

五、系统源程序 ......................................................................................................... 19

5.1 Verilog HDL 源程序:........................................................................ 19 5.2 STM32 源程序:.................................................................................... 23

1 / 30

基于FPGA的信号发生器设计报告

直接数字频率合成DDS(Direct Digital Synthesizer)是基于奈奎斯特抽样定理理论和现代器件生产技术发展的一种新的频率合成技术。与第二代基于锁相环频率合成技术相比,DDS具有频率切换时间短、频率分辨率高、相位可连续变化和输出波形灵活等优点,因此,广泛应用于教学科研、通信、雷达、自动控制和电子测量等领域。该技术的常用方法是利用性能优良的DDS专用器件,“搭积木”式设计电路,这种“搭积木”式设计电路方法虽然直观,但DDS专用器件价格较贵,输出波形单一,使用受到一定限制,特别不适合于输出波形多样化的应用场合。随着高速可编程逻辑器件FPGA的发展,电子工程师可根据实际需求,在单一FPGA上开发出性能优良的具有任意波形的DDS系统,极大限度地简化设计过程并提高效率。本文在讨论DDS的基础上,介绍利用FPGA设计的基于DDS的信号发生器。

关键字:FPGA;DDS;函数信号发生器;

2 / 30

基于FPGA的信号发生器设计报告

一、设计要求

1. 基本要求

(1)信号发生器能产生正弦波、方波和三角波三种周期性波形; (2)将输出信号频率范围为10Hz~10MHz,输出信号频率可分段调节:在10Hz~100kHz范围内步进间隔为10Hz;在100kHz~1MHz范围内步进间隔为100Hz;在1MHz~10MHz范围内步进间隔为1kHz。输出信号频率值可通过键盘进行设置;

(3)在50Ω负载条件下,输出正弦波信号的电压峰—峰值Vopp在0~5V范围内可调,调节步进间隔为0.1V,输出信号的电压值可通过键盘进行设置; (4)输出信号波形无明显失真; (5)自制稳压电源。

(6)可利用ARM单片机实时显示输出信号的类型、幅度、频率和频率步进值;

二、设计方案

【方案一】 采用分立元件模拟直接合成法。这种方法转换速度快,频率分辨率高,但其转换量程靠手动来实现,不仅体积大难以集成,而且可靠性和准确度很难进一步提高。

【方案二】 采用MAX038芯片来产生正弦波信号。该集成块的输出波形种类多,频率覆盖范围广。它采用的是RC充放电振荡结构。第一,由于模拟器件元件分散性太大,外接的电阻、电容对

?3?4参数的影响很大,因而产生的频率稳定度差,只能达到10?10。

第二,它的频率控制是通过充放电流的大小来实现。因而要达到步进100HZ,所需的电流变化量非常小,精度要求很高。所以采用MAX038芯片难以实现设计要求。

3 / 30