二进制振幅键控(ASK)调制器与解调器设计 下载本文

内容发布更新时间 : 2024/4/26 2:56:19星期一 下面是文章的全部内容请认真阅读。

课程设计任务书

学生姓名: 专业班级: 通信 指导教师: 工作单位: 信息工程学院 题目: 二进制振幅键控(ASK)调制器与解调器设计

要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写

等具体要求)

数字信号对载波振幅调制称为振幅键控即 ASK(Amplitude-Shift Keying)。 ASK有两种实现方法:

1.乘法器实现法

2.键控法

为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,是用基带信号控制与非门的开闭,实现ASK调制,产生信号。

ASK解调方法有两种 1. 同步解调法 2. 包络解调法。

时间安排:

指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日

目录

摘要................................................................................................................................. I Abstract .......................................................................................................................... II 1. 绪论 .......................................................................................................................... 1

1.1 本课题的研究现状 ........................................................................................ 1 1.2 选题目的意义 ................................................................................................ 1 2.2ASK系统工作原理及数学模型 ............................................................................ 2

2.1 2ASK的调制原理及设计方法 ........................................................................ 2 3.2ASK各个模块的设计 ............................................................................................... 4

3.1 2ASK的调制部分 ............................................................................................ 4 3.2 2ASK解调部分 ................................................................................................ 4 4.VHDL程序设计 ........................................................................................................... 5

4.1 2ASK调制部分程序设计 ................................................................................ 5 4.2 2ASK解调程序设计 ........................................................................................ 6 5. 2ASK的仿真结果及分析 .......................................................................................... 7

5.1Quartus II的介绍 ............................................................................................. 7 5.2Quartus II的优点 ............................................................................................. 7 5.3 2ASK调制仿真 ................................................................................................ 8 5.4 2ASK解调仿真 ................................................................................................ 9 6.总结 .......................................................................................................................... 12 7.参考文献 .................................................................................................................. 13 附录.............................................................................................................................. 14

摘要

从信号传输质量来看,数字系统优于模拟系统,在数字通信网中,除了考虑抗干扰能力外,还要考虑容量和频率资源利用率等重要技术指标。在数字传输系统中,数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢复原数字信号对载波的控制分为振幅调制即振幅键控(ASK)。如今,FPGA在通信领域得到了广泛的应用,利用FPGA性能优越,使用方便的特点,可以简化振幅调制解调电路的设计,而且易于反复编写和修改程序。

本次实验运用VHDL语言进行基于FPGA的振幅键控调制电路和解调电路设计的实现方案,给出了程序设计和仿真结果。完成了二进制基带数字信号的调制的解调,得到相应的调制信号和解调。

关键词:FPGA 二进制振幅键控 ASK 调制 解调