数字逻辑实验指导书 下载本文

内容发布更新时间 : 2024/5/10 23:24:28星期一 下面是文章的全部内容请认真阅读。

数字逻辑

实验指导书

目 录

前 言 ...................................................................................................错误!未定义书签。 实验一 通过3-8译码器实例学习QUARTUS PRIME .............................................................. 1 实验二 4选1多路选择器设计 ...................................................................................... 15 实验三 异步清零和同步使能加法计数器设计 ............................................................. 17 实验四 八位七段数码管显示电路的设计 ..................................................................... 19 实验五 整数分频器的设计 ............................................................................................. 22 实验六 加减法运算器设计 ............................................................................................. 24 实验七 状态机设计 ......................................................................................................... 27 实验八 设计七人表决器 ................................................................................................. 33 实验九 设计四人抢答器 ................................................................................................. 35 实验十 可控脉冲发生器的设计 ..................................................................................... 37

实验一 通过3-8译码器实例学习Quartus

一、 实验目的

1、通过简单的3-8译码器的设计,掌握组合逻辑电路的设计方法。 2、初步掌握Quartus软件使用方法和设计流程。 3、掌握组合逻辑电路的静态测试方法。 4、掌握远程云端硬件实验平台的使用。

二、 实验原理

3-8译码器顾名思义三输入,八输出。当输入信号按二进制方式的表示值为N时,标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,即二进制0~7,所以输出端在每种组合中仅有一位为高电平。其真值表下表所示

输入 a[2] 0 0 0 0 1 1 1 1

a[1] 0 0 1 1 0 0 1 1

a[0] 0 1 0 1 0 1 0 1

y7 0 0 0 0 0 0 0 1

y6 0 0 0 0 0 0 1 0

y5 0 0 0 0 0 1 0 0

输出 y4 0 0 0 0 1 0 0 0

y3 0 0 0 1 0 0 0 0

y2 0 0 1 0 0 0 0 0

y1 0 1 0 0 0 0 0 0

y0 1 0 0 0 0 0 0 0

译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。本例设计中没有考虑使能输入端,读者自己设计时可以考虑加入

1