基于vhdl的2ASK调制 下载本文

内容发布更新时间 : 2024/5/3 4:08:45星期一 下面是文章的全部内容请认真阅读。

一、ASK简介

数字信号对载波信号的振幅调制称为振幅键控即ASK,2ASK是利用代表数字信

息“0”或“1”的基带矩形脉冲去键控一个连续的载波,是载波时断时续的输出。有载波 输出是表示发送“1”,无载波输出时表示发送“0”。 二、2ASK的调制

2ASK的调制方法有两种分别是相乘电路法和键控法 1、相乘电路法:

乘法器输入法的输入是随机信息序列,经过基带信号形成器,产生序列波形,乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器除去高频谐波和低频干扰。带通滤波器的输出是振幅键控信号。乘法器采用环形调制器。图1所示为该方法的原理图。

图一

2、键控法

键控法是产生ASK信号的另一种方法。2ASK又称通断控制(OOK)最典型的实现方法是用一个电键控制载波振荡器的输出来获得。 为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制电子开关来实现,代替电键产生ASK信号。图二所示就是数字电路实现键控产生ASK信号的实例。该电路是用基带信号控制与非门的开闭,实现ASK调制,产生ASK信号。

图二

图二中,当基带信号为高电平时,开关电路如图所示,当基带信号为低电平时,开关处于断开状态,二进制振幅键控信号状态为零,此时二进制振幅键控信号又常称为通-断键控信号(OOK信号)。 3、ASK调制系统调制模型

根据上述对ASK调制系统的原理研究,结合VHDL硬件描述语言的特点对ASK调制系统设计了调制模型

时钟信号 四分频 控制信号 载波信号 基带信号 与 门 调制信号 图三 系统设计模型图

三、2ASK信号的解调

在接收端,ASK的解调方法同样也有两种,即包络解调和同步解调。前者属于相干解调,后者属于非相干解调。图四为包络解调法的结构图,其中的整流器和低通滤波器构成一个包络检波器。图五为相干解调器的结构图,由于在相干解调中相乘电路需要有相干载波,该载波必须从接收信号中获取,并且与接收信号的载波信号具有相同的频率以及相同的相位,因此这种方法比包络解调法复杂。 1、 非相干解调法(包络检波法)

包检波法的原理框图如图四所示带通滤波器(BPF)恰好使ASK信号完整的通过,经过包络检测后,输出其包络,低通滤波器(LPF)的作用是滤除高频杂波,使基带信号通过。抽样判决器包括抽样,判决及码元形成器,定时抽样脉冲式很窄的脉冲,通常位于每个码元的中央位置,其重复周期等于码元的宽度,不计噪声影响时,带通滤波器输出为2ASK信号,即 } ct Y(t)=e 0(t)=δ(t)cosω 包络检波器输出为s(t),经抽样,判决后将码元再生,即可恢复出数字系列{Dn}

图四

2、 相干检测法

相干检测法原理方框如图五所示相干检测就是同步解调,要求接收机产生一个与发送载波同频同相的本地载波信号,称其为同步载波或相干载波,利用此载波与接收到的一条信号相乘,经低通滤波滤除第二项高频分量后,即可输出s(t)信号。低通滤波器的截止频率与基带数字信号的最高频率相等。由于噪声影响及传输特性的不理想,低通滤波器输出波形有时真,经抽样判决、整形后再生数字基带脉冲

图五

3、ASK解调模型

控制信号 十二周期计数器 脉冲计数时钟信号 器及信号信号寄存器 已调信号 判决 解调信号

图六ASK解调系统设计模型图