EDA实验报告材料(四选一、四位比较器、加法器、计数器、巴克码发生器) 下载本文

内容发布更新时间 : 2024/10/9 17:21:18星期一 下面是文章的全部内容请认真阅读。

实用文档

文案大全

EDA实验报告

实用文档

实验1 4选1数据选择器的设计

一、实验目的

1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。

3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材

1.EDA开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 三、实验说明

本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。

本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。

实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求

1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证;

3.编程下载并在实验开发系统上验证设计结果。 五、实验结果

4选1数据选择器的原理图:

仿真波形图:

文案大全

实用文档

管脚分配:

文案大全