基于Verilog的DDS设计与显示 下载本文

内容发布更新时间 : 2024/5/18 21:25:43星期一 下面是文章的全部内容请认真阅读。

硬件描述语言课程设计

题 目: 基于Verilog的DDS设计与显示 学 院: 自动化工程学院 专 业: 信号与信息处理 年 级: 2012级 姓 名: 黄山

2013年 1 月 19 日

1.设计要求

设计一个DDS信号发生器,能够产生三角波,要求频率、相位可调。实现VGA显示波形和参数。要求用DE2-70开发板完成。 设计要求:

一、DDS信号发生器设计要求:

(1) 频率两档可调; (2) 峰峰值两档可调;

二、VGA波形和字符显示设计要求:

(1) 用红色显示2个周期波形; (2) 在屏幕下方显示字符库。

2.设计原理及分析

一)DDS原理(以正弦信号为例)

对于正弦信号发生器,它的输出可以用下式来描述:

Sout?Asin(2?foutt)=Asin(?)

(1)

其中,SOUT 是指该信号发生器的输出信号波形,fOUT 指输出信号对应的频率。上式的表述对于时间t是连续的,为了用数字逻辑实现该表达式,必须进行离散化处理,用基准时钟clk进行抽样,令正弦信号的相位θ为

??2?foutt(2)

在一个clk周期Tclk,相位?的变化量为

???2?foutTclk?2?fout/fclk(3)

为了对??进行数字量化,把2π切割为2N由此,每份clk周期的相位增量??用量化值

B??????2N2?

(4) 且B??为整数。

B???foutN?2fCLK

(5)

显然,信号发生器的输出可描述为:

Soutk?Asin(B?k?1+B??)(6)

其中θK-1指前一个clk周期的相位值,同样得出

Bθk?1?θk?12π?2N

(7)

由上面的推导可以看出,只要对相位的量化值进行简单的累加运算,就可以

得到正弦信号的当前相位值,为用于累加的相位增量量化值B??决定了信号的输出频率fOUT,并呈现简单的线性关系。

直接数字合成器DDS就是根据上述原理而设计的数控频率合成器,主要由相位累加器、相位调制器、正弦ROM查找表、和DAC构成。如图1中相位累加器、相位调制器、正弦ROM查找表是DDS结构中的数字部分,由于具有数控频率合成的功能,可称为NOC(Numerically Controlled Oscillators)。

BKI0A幅值输入BK2 BK0BK1BK3BKI1BKI2BKI3Q0MULTQ图1 DDS信号发生器结构

二)VGA显示原理

常见的计算机显示器有CRT( Cathode Ray Tube ,阴极射线管)显示器和液晶显示器,本次设计针对CRT显示。CRT中的阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生RGB三基色,合成一个彩色像素。用逐行扫描的方式显示图像。扫描从屏幕左上方开始,从左到右,从上到下,进行扫描。每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,