基于FPGA的NCO设计与仿真 - 图文 下载本文

内容发布更新时间 : 2024/6/16 22:39:01星期一 下面是文章的全部内容请认真阅读。

本科学生毕业论文

论文题目:学 院:年 级:专 业:姓 名:学 号:指导教师: 基于FPGA的NCO设计与仿真

电子工程学院 2012级 电子信息工程

2015 年 06 月 23 日

摘要

数控振荡器是数字通讯中调制解调单元必不可少的部分,同时也是各种数字频率合成器和数字信号发生器的核心。随着数字通信技术的发展,对传送数据的精度和速率要求越来越高。如何得到可数控的高精度的高频载波信号是实现高速数字通信系统必须解决的问题,可编程逻辑器件和大容量存储器的发展为这一问题的解决带来了曙光。本文从NCO概念入手,简要介绍NCO的概念与应用,进而介绍NCO系统结构及工作原理、NCO各组成部分设计、系统仿真等几个方面,将基于FPGA的NCO设计与仿真过程展现在读者面前,简洁明了,并且在研究的过程中取得了一定的成果。

关键词

NCO;数控振荡器;FPGA;

I

Abstract

NCO is a crucial part of the modem as a unit of digital communications, and various digital frequency synthesizer and the core of digital signal generator. With the development of digital communication technology, the transmitting data accuracy and rate of demand is higher and higher. How to get to the high frequency carrier signal is of high accuracy of the numerical control implementation must solve the problem of high speed digital communication system, programmable logic devices and the development of large capacity storage brought the dawn for the solution of the problem. This article obtains from the concept of NCO, briefly introduces the concept and application of NCO, then introduce the NCO system structure and working principle, NCO each component design, system simulation and so on several aspects, the NCO based on FPGA design and simulation process show in front of the reader, simple, and has obtained certain achievements in the process of research.

Key words

NCO; Numerical Controlled Oscillator; FPGA

II

目录

摘要 ............................................................................................................................................ I Abstract ................................................................................................................................... II 第一章 绪论 ............................................................................................................................. 1 第二章 NCO概述 ...................................................................................................................... 2

2.1 NCO概念 ..................................................................................................................... 2 2.2 基于NCO的应用 ........................................................................................................ 2

2.2.1 DDS的实现 ...................................................................................................... 2 2.2.2 FSK的实现 ...................................................................................................... 3 2.2.3 扫频电路的实现 ............................................................................................. 3

第三章 NCO实现原理及设计方法 ........................................................................................ 4

3.1 NCO实现原理 ............................................................................................................. 4 3.2 NCO几种常见设计方法 ............................................................................................. 5 第四章 NCO的结构及仿真 .................................................................................................... 5

4.1 NCO的结构 ................................................................................................................. 5

4.1.1 NCO的顶层电路结构 ...................................................................................... 5 4.1.2结构设计 .......................................................................................................... 6 4.2 NCO的及仿真 ........................................................................................................... 10 结论 ......................................................................................................................................... 12 参考文献 ................................................................................................................................. 13 致谢 ......................................................................................................................................... 14

基于FPGA的NCO设计与仿真

第一章 绪论

数控振荡器是数字通讯中调制解调单元必不可少的部分,同时也是各种数字频率合成器和数字信号发生器的核心。随着数字通信技术的发展,对传送数据的精度和速率要求越来越高。如何得到可数控的高精度的高频载波信号是实现高速数字通信系统必须解决的问题,可编程逻辑器件和大容量存储器的发展为这一问题的解决带来了曙光。

在研究数控振荡器NCO工作原理的基础上,通过分析对比几种不同的NCO设计方法,采用了算法简单、节省资源的基于ROM查找表的设计方法。针对正交数控振荡器NCO的主要部件正余弦存储表、可变模计数器进行了算法设计和电路设计,并在Altera公司的FPGA上进行了验证,波形仿真结果表明了电路设计的正确性。采用查找表的方法可以有效提高系统功能的可扩展性和系统的可集成性,使得NCO功能模块可以通过配置存储表、频率控制字来满足多种应用场合下的NCO设计需要,可以广泛地应用于各种现代通信系统中。

随着数字通信技术的飞速发展,软件无线电的应用愈加的广泛,而影响软件无线电性能的关键器件数控振荡器NCO(Numerical CONtrolled Oscillator)的设计至关重要;NCO的设计采用直接数字频率合成(DDS)技术;1971年3月美国学者J.Tierncy、C.M.Rader和B.Gold首次提出了直接数字频率合成(DDS)技术。这是一种从相位概念出发直接合成所需要的波形的新的全数字频率合成技术。同传统的频率合成技术相比,DDS技术具有频率精度高、转换时间短、频谱纯度高以及频率相位易编程、输出的频率稳定度与系统的时钟稳定度相同等一系列优点,广泛应用于现代各种通信系统中,包括数字上下变频、中频变换、频率合成以及扩频系统和各种频率相位数字调制解调系统中。

在软件无线电及通信领域,经常使用正交的数字信号;针对此类应用,本文给出了一种基于FPGA的正交NCO设计方法,可以实现正交的、连续相位、高性能、高精度、可重利用的数控振荡器,适合于多种应用场景的片上系统的设计。

1