数码管显示控制器实训报告 下载本文

内容发布更新时间 : 2024/10/15 20:23:34星期一 下面是文章的全部内容请认真阅读。

实训报告

项目名称: 数码管显示控制器 班 级: 姓 名: 学 号: 一、实训任务

根据已知条件,完成对数码管显示控制器的设计、装配与调试。

二、设计要求

(1)、能自动一次显示出数字 0、1、2、3、4、5、6、7、8、9

(自然数列),1、3、5、7、9(奇数列), 0、2、4、6、8(偶数列),0、1、0、1、2、3、4、5、6、7(音乐符号序列);然后再从头循环;

(2)、打开电源自动复位,从自然数列开始显示。

摘要

这次的课程设计主要是用计数器来实现的,这个循环控制电路的实质就是要产生一系列有规律的数列, 然后通过一个七段数码管显示出来. 这里使用的只要就是计数器, 计数器在时序电路中应用的很广泛,它不仅可以用于对脉冲进行计数,还可用于分频,定时,产生节拍脉冲以及其他时序信号。运用计数器的不同的功能和不同的接发就可以

1

实现不同的序列输出了。

而且这次的内容还包括分电路图的整合,使这个循环显示器能够按照要求依次输出自然序列,奇数序列,偶数序列还有音乐序列。还有一个部分就是时钟电路是由 555 多谐自激震荡集成电路制成,与电阻和电容一起构成周期为一秒时钟电路的时钟周期发生器,为电路提供时钟信号。驱动电路是由74LS160D计数器和74LS153D数据选择器组成,用以驱动数码管正常工作,并且在时钟电路的控制下让数码管循环工作。支持整个电路的工作。这个设计基本上就是由以上部分连接在一起组成的。

1.设计背景

1.1设计任务

根据已知条件,完成对数码管显示控制器的设计、装配与调试。

1.2设计要求

(1)能自动一次显示出数字 0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列), 0、2、4、6、8(偶数列),0、1、0、1、2、3、4、5、6、7(音乐符号序列);然后再从头循环;

(2)打开电源自动复位,从自然数列开始显示。 1.3指导思想

本设计将采用几个基本的数字集成的74系列(74LS48,74LS153,555)芯片来完成所需要的数字逻辑显示功能(在七段数码管上按规律显示特定的数字)。本设计具有逻辑清晰、设计巧

2

妙的特点,能很好的符合课程设计的要求。

2.方案论证

2.1方案说明

该设计的关键是对74LS153的输入端的强制置数的处理,设计要求产生奇数,实际上就是将第一个74LS153的1C1强制置1;要求产生偶数,实际上就是把第一个74LS153的1C2强制置0;要求产生0-7的音乐符号,实际就是把第二个74LS153的2C3强制置0;也就是说产生十进制的的计数一直是不变的,它内部的技术依然是0-9的计数,我们只是在外部改变了它的输出而已,因此我们这个方案采用一个最简易的方案:一个74LS160一个74LS161和两个 74LS153,一个555作脉冲产生之用,一片74LS48译码,一个七段数码管作显示。 2.2方案原理

首先,用一个555构成多谐振荡器产生大约1HZ的脉冲,脉冲可以使74LS160正常工作循环产生0—9的十进制数作为74LS153的输入,用74LS161的低两位输出作为两个74LS153的地址输入控制其输出。74LS160每循环0—9一次就会产生进位输出为74LS161提供一个脉冲,使其计数一次,74LS161在此处做为一个四进制的计数器。在脉冲作用下,74LS161的低两位循环产生00、01、10、11从而使74LS153输出相应的十进制数再经74LS48译码最终使数码管按要求依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后又依次显示出自然数列、奇数列、

3