UDP协议分析实验报告 下载本文

内容发布更新时间 : 2024/5/24 5:12:59星期一 下面是文章的全部内容请认真阅读。

实验三 UDP 协议分析

一、实验目的

1. 掌握传输层的UDP协议内容; 2. 理解UDP协议的工作原理; 2. 了解应用层协议与传输层协议的关系。

二、实验内容

1. 学习UDP协议的通信过程; 2. 分析UDP协议报文格式; 3. 学会计算UDP的校验和。

三、实验原理

UDP(User Datagram Protocol) 用户数据报协议 (RFC 768)一种无连接的传输层协议,提供面向事务的简单不可靠信息传送服务。 UDP 协议基本上是 IP 协议与上层协议的接口。 由于大多数网络应用程序都在同一台机器上运行,计算机上必须能够确保目的地机器上的软件程序能从源地址机器处获得数据包,以及源计算机能收到正确的回复。这是通过使用 UDP 的“端口号”完成的。例如,如果一个工作站希望在工作站 128.1.123.1 上使用域名服务系统,它就会给数据包一个目的地址 128.1.123.1 ,并在 UDP 头插入目标端口号 53 。源端口号标识了请求域名服务的本地机的应用程序,同时需要将所有由目的站生成的响应包都指定到源主机的这个端口上。

与 TCP 不同, UDP 并不提供对 IP 协议的可靠机制、流控制以及错误恢复功能等。由于 UDP 比较简单, UDP 头包含很少的字节,比 TCP 负载消耗少。

UDP 适用于不需要 TCP 可靠机制的情形,比如,当高层协议或应用程序提供错误和流控制功能的时候。 UDP 是传输层协议,服务于很多知名应用层协议,包括网络文件系统(NFS)、简单网络管理协议(SNMP)、域名系统(DNS)以及简单文件传输系统(TFTP)。 UDP协议结构:

(1) Source Port — 16位。源端口是可选字段。当使用时,它表示发送程序的端口,同时它还被认为是没有其它信息的情况下需要被寻址的答复端口。如果不使用,设置值为0。

1

(2) Destination Port — 16位。目标端口在特殊因特网目标地址的情况下具有意义。 (3) Length — 16位。该用户数据报的八位长度,包括协议头和数据。长度最小值为8。 (4) Checksum — 16位。IP 协议头、UDP 协议头和数据位,最后用0填补的信息假协议头总和。

(4) Data — 包含上层数据信息。

四、实验步骤

1. 启动Wireshark软件,开始UDP报文捕获。请说明你是如何获得UDP数据报的,并附上捕获的截图。

例如,可开启聊天工具,然后开启捕获,与好友进行对话,停止捕获,就得到了UDP数据报。

图2-1 捕获UDP数据报

在协议分析界面,可将filter 一栏填入udp,则只显示UDP协议信息。

图2-2 设置过滤器

2

2. 根据捕获的数据包,分析UDP的报文结构,将UDP协议中各字段名,字段长度,字段信息填入表2-1。

表2-1 UDP报文结构

字段名 字段长度 字段值 3. 通过分析实验结果,UDP报文结构由哪几部分组成,其功能是什么? 4. 分析UDP协议的特点,为什么UDP是无连接的、不可靠的协议? 5. 计算UDP检验和,并与实验结果相比较。

3