燕山大学EDA课程设计之六人抢答器 下载本文

内容发布更新时间 : 2024/5/14 19:08:05星期一 下面是文章的全部内容请认真阅读。

燕山大学课程设计说明书

表三 D触发器真值表

二、原理图

2.1 抢答器及数码管显示原理图

选手控制1~6号开关,主持人控制m开关,CLK为8HZ的输入脉冲,L1~L6为发光二极管,IODS1控制控位当它为高电平时静态数码管显示(IOSD1接VCC始终保持为高电平),A3、A2、A1、A0分别接“1D0”“1D1”“1D2”“1D3”二进制数经过译码后显示抢答路数十进制数。

共11页

- 6 -

燕山大学课程设计说明书

主持人拨码开关置到高电平,即进入抢答环节,如果有一个参赛选手按下拨号开关,对应的D触发器输出高电平,对应的发光二极管亮,但经过反相器后变为低电平使AND7,

与门封锁,此时六个D触发器的CLK输入端没有脉冲输入,D触发器全部封锁,所以在有一个人先抢答的前提下,其他选手抢答无效,而经过反相器的六个信号经过74148译码后输出三位二进制数A2、A1、A0加上A3端(固定接地)然后A3、A2、A1、A0接静态数码管四个端的1D3、1D2、1D1、1D0控制输出的十进制。一轮抢答后主持人在控制异步清零端进行清零。清零完毕后支持人复位准备下一轮抢答。

2.2 5s计时器原理图

将十进制74160通过异步清零法配置成五进制计数器。当输入1HZ时的始终信号时,即可实现5s定时。

共11页 - 7 -

燕山大学课程设计说明书

将异步清零信号反向后即可获得5s的高电平信号(呼叫声)。

当参赛选手按下抢答开关(即此电路中的VCC),当QC、QB、QA输出为000是OR3或门不能打开此时蜂鸣器不响,当QC、QB、QA输出为001、010、011、100、101时OR3或门打开输出高电平,此时蜂鸣器开始响,响五秒之后当QCQBQA变为110时NAND2与非门输出低电平,使CLRN引脚工作使QCQBQA全部清零。

2.3 总原理图

如图,六个参赛选手分别控制五个开关1~6,主持人控制一个拨码开关m。有一人先抢答时,对应发光二极管亮、蜂鸣器响五秒后停止并且数码管显示抢答路数。一个人先按下拨码开关后其他参赛选手在抢答无效。一轮抢答后主持人利用m端需要进行复位(先置为低电平,后置为高电平)。

四 仿真图

共11页 - 8 -

燕山大学课程设计说明书

如图,从波形图中可以看出各项输出输入均符合要求。

(1) 六人参赛,每人一个拨码开关

(2) 主持人用一个拨码开关控制复位,先置地电平后置高电平,才可进行下一轮抢答 (3) 先抢中者对应的发光二极管亮,数码管显示抢答路数 (4) 有人抢答时蜂鸣5秒

四、管脚锁定及硬件连线

输入端锁定:

1-PIN39 2-PIN40 3-PIN41 4-PIN44 5-PIN45 6-PIN46

m-PIN47 CLK-PIN197 CLK1-PIN198

输出端锁定:

L1-PIN12 L2-PIN13 L3-PIN14 L4-PIN15 L5-PIN17 L6-PIN18

F-PIN38 A0-PIN127 A1-PIN128 A2-PIN131 A3-PIN132

共11页 - 9 -

燕山大学课程设计说明书

IOSD1-PIN94

硬件连接:

频率组连接:18(8HZ)-PIN197 21(1HZ)-PIN198

五、 总结

总结:通过本次EDA课程设计我学会了很多,收获了很多,从当初的对EDA一点都不懂到现在能自己独立完成从电路设计到软件模拟再到上箱手动连接从而验证实验结果这些全部过程。

在这一个星期的不断摸索中,我学会并掌握了更多的知识,把从课本上的理论知识运用到在实际操作中,在不断的失败,不断的改进电路图,不断的向别人请教指导中,我终于完成了这次设计,当连上试验箱出现实验结果的时候,不仅让我感觉到了成功的欣喜,几天的努力终于没有白费,更让我对自己有了信心。

通过这一个星期的EDA课程设计,通过老师们的讲解和自己的动手不但使我熟悉了EDA课程设计的基本思想和基础知识,初步掌握了其应用软件MAX-Plus的使用,而且更为深入的体会了数字电路在现代高科技信息产业领域中的重要地位,通过简单的电路设计,提高了我得独立思考能力,通过连结实验箱增强了我的动手能力,并延伸了我在课堂上学到的知识,作为一名工科学生,这样的EDA课程设计是非常有意义的。在课程设计中,通过思考、发问,自己解惑并动手设计的过程,将课本上的知识学以致用,不仅强化了自己原有的知识体系,并扩展了自己的思维。经过这次课程设计,我相信在以后的课程设计中我们会吸取经验教训,做出更好的设计来。

六 、参考文献

常丹华,《数字电子技术基础》,电子工业出版社 张强《EDA课程设计指导书》

共11页

- 10 -

燕山大学课程设计说明书

燕山大学课程设计评审意见表

指导教师评语: ①该生学习态度 (认真 较认真 不认真) ②该生迟到、早退现象 (有 无) ③该生依赖他人进行设计情况 (有 无) 平时成绩: 指导教师签字: 2013 年 12 月 20 日 图面及其它成绩: 答辩小组评语: ①设计巧妙,实现设计要求,并有所创新。 ②设计合理,实现设计要求。 ③实现了大部分设计要求。 ④没有完成设计要求,或者只实现了一小部分的设计要求。 答辩成绩: 组长签字: 2013 年 12 月 20 日 课程设计综合成绩: 答辩小组成员签字: 2013年 12 月 20 日 共11页

- 11 -