用Verilog语言编写的多功能数字钟 下载本文

内容发布更新时间 : 2024/5/20 19:33:08星期一 下面是文章的全部内容请认真阅读。

2.控制器和计数器

控制器的作用是,调整小时和分钟的值,并能实现清零功能。计数器的作用是实现分钟和秒钟满60进1,小时则由23跳到00。当到达59分55秒的时候,LED灯会闪烁来进行报时。因为控制器和计数器的驱动信号频率均为1Hz,故从分频器输出的信号进入控制器后,要进行二次分频,由1Khz变为1Hz。

源程序如下:

module kongzhiqi( CPout,S1,S2,RET,Hour,Minute,Second,LED);

input CPout,S1,S2,RET;

output [5:0] Hour; output [5:0] Minute; output [5:0] Second; output LED;

reg [5:0] Hour; reg [5:0] Minute; reg [5:0] Second; reg R1;

reg R2,R8,LED; reg [10:0] Cout; reg Clk_En;

always@(posedge CPout) begin if(S1==0) begin R1=1; end if(S2==0)

5

begin R2=1; end

if(RET==0) begin R8=1; end

Cout=(Cout==32'd1000)?32'd0:(Cout + 32'd1); Clk_En=(Cout==32'd1000)?1'd1:1'd0;

6

if(Clk_En) begin if(R1==1) begin if(Hour<24) Hour=Hour+1; if(Hour==24) begin Hour=0; end R1=0; end if(R2==1) begin if(Minute<60) Minute=Minute+1; if(Minute==60) begin Minute=0; if(Hour<24) Hour=Hour+1; if(Hour==24) begin Hour=0; end end R2=0; end if(Second<60) Second=Second+1; if(Second==60) begin Second=0; if(Minute<60)

Minute=Minute+1; if(Minute==60) begin Minute=0; if(Hour<24) Hour=Hour+1; if(Hour==24) begin Hour=0; end end end if((Minute==59)&&(Second>55))//整点倒计时 begin if(LED==1) LED=0; else LED=1; end else LED=0; if(R8==1)//清零 begin Hour=0; Minute=0; Second=0; R8=0; end end end endmodule

功能仿真波形如图3所示:

7

3.显示器

显示器的作用是将时—分—秒的值在数码管上依次显示出来。从分频器输出的1Khz的信号作为数码管的扫描信号。SEL 表示三个数码管选择位,它的取值表示八个数码管,从左至右依次是111~000。LEDGA表示七段数码管,它的取值决定特定位数上显示的数字。

源程序如下:

module xianshi( CPout,Hour,Minute,Second,SEL,LEDAG );

input CPout;

input Hour,Minute, Second; output SEL,LEDAG;

reg [2:0] SEL; reg [6:0] Led;

reg [3:0] shi1,ge1,shi2,ge2,shi3,ge3;

always @(posedge CPout ) begin shiwei1=Hour/10; gewei1=Hour; shiwei2=Minute/10; gewei2=Minute; shiwei3=Second/10; gewei3=Second; if(SEL==3'b110) //判断位选SEL的值,并将此位上的值输出到数码管 case(shiwei1) 4'b0000: Led = 7'b0111_111; 4'b0001: Led = 7'b0000_110; 4'b0010: Led = 7'b1011_011; 4'b0011: Led = 7'b1001_111; 4'b0100: Led = 7'b1100_110;

8