实验二运算器实验解读 下载本文

内容发布更新时间 : 2024/5/2 9:40:23星期一 下面是文章的全部内容请认真阅读。

实验二运算器实验 1.算术逻辑运算实验 一.实验目的

1.了解简单运算器的数据传输通路。 2.验证运算功能发生器的组合功能。 3.掌握算术逻辑运算加、减、与的工作原理。 4.验证实验台运算的8位加、减、与、直通功能。 5.按给定数据,完成几种指定的算术和逻辑运算。 二.实验内容 1.实验原理

算术逻辑单元ALU的数据通路如图2-1所示。其中运算器ALU181根据74LS181的功能用VHDL硬件描述语言编辑而成,构成8位字长的ALU。参加运算的两个8位数据分别为A[7..0]和B[7..0],运算模式由S[3..0]的16种组合决定,而S[3..0]的值由4位2进制计数器LPM_COUNTER产生,计数时钟是Sclk(图2-1;此外,设M=0,选择算术运算,M=1为逻辑运算,C N为低位的进位位;F[7..0]为输出结果,C O为运算后的输出进位位。两个8位数据由总线IN[7..0]分别通过两个电平锁存器74373锁入,ALU功能如表2-1所示。

表2-1 ALU181的运算功能

注1、* 表示每一位都移至下一更高有效位, “+”是逻辑或,“加”是算术加

注2、在借位减法表达上,表2-1与标准的74181的真值表略有不同。 三.实验步骤 (1设计ALU元件

在Quartus II 环境下,用文本输入编辑器Text Editor输入ALU181.VHD算术逻辑单元文件,编译VHDL文件,并将ALU181.VHD文件制作成一个可调用的原理图元件。

(2以原理图方式建立顶层文件工程

选择图形方式。根据图2-1输入实验电路图,从Quartus II的基本元件库中将各元件调入图形编辑窗口、连线,添加输入输出引脚。

将所设计的图形文件ALU.bdf保存到原先建立的文件夹中,将当前文件设置成工程文件,以后的操作就都是对当前工程文件进行的。

(3器件选择

选择Cyclone系列,在Devices中选择器件EP1C6QC240C8。编译,引脚锁定,再编译。

引脚锁定后需要再次进行编译,才能将锁定信息确定下来,同时生成芯片编程/配置所需要的各种文件。

(4芯片编程Programming(可以直接选择光盘中的示例已完成的设计进行验证实验

打开编程窗口。将配置文件ALU.sof下载进GW48系列现代计算机组成原理系统中的FPGA

中。