在quartus ii 11[1].0环境下,调用modelsim仿真 下载本文

内容发布更新时间 : 2024/7/1 20:35:50星期一 下面是文章的全部内容请认真阅读。

在quartus ii 11.0环境下,调用modelsim仿真 (仅自己尝试,可能有所不足)

方法1:在quartus ii 11.0环境下,采用手动设置激励形成波形(个人比较喜欢,比较方便)

打开Quartus II 11.0软件,新建工程和文件并保存,编译后。 1. 然后在菜单栏选择 tools->options;

2. 在options选项卡中选中EDA tool options;

3. 在该选项卡中下面的ModelSim-Altera一项指定安装路径为

D:\\qurtus2\\11.0\\modelsim_ase\\win32aloem(其中D:\\qurtus2\\11.0\\modelsim_ase\\win32aloem为我电脑中ModelSim-Altera 6.5e的安装路径)

【注意】 如果没有指定ModelSim-Altera 6.5e的安装路径,调用ModelSim-Altera的时候会出现错误。

4指定Quartus II 11.0仿真软件

在Quartus II 11.0界面菜单栏中选择Assignments->Settings。

选中该界面下EDA Tool settings中的Simulation一项。

Tool name中选择ModelSim-Altera;

Format for output netlist中选择开发语言的类型Verilog或者VHDL等,

Time scale 指定时间单位级别

Output directory指定测试文件模板的输出路径(该路径是工程文件的相对路径)。

然后点击APPLY应用和OK。

5.设置完成后,编译工程:在Quartus II 11.0界面菜单栏中选择菜单栏选择Processing-->start Compilation,等待编译,无错后会在test目录下生成simulation目录,执行下一步。

6.在Quartus II 11.0界面菜单栏中选择菜单栏Tools中的Run EDA Simulation Tool--> EDA RTL Simulation 进行行为级仿真,接下来就可以看到ModelSim-Altera 的运行界面

7.modelsim界面菜单栏中选择Compile-->compile... ,弹出窗口中选择 test/simulation/modelsim/BJQ.vho文件,点击compile,然后点击done.在Library窗口中可以展开work可以看到