基于Verilog实现的DDS任意波形发生器 下载本文

内容发布更新时间 : 2024/5/19 1:53:05星期一 下面是文章的全部内容请认真阅读。

河海大学计算机与信息学院(常州)

课程设计报告

题 目 简易直接数字频率合成器前端设计 专业、学号 电科 1062910220 授课班号 275901 学生姓名 毛石磊 指导教师 单鸣雷 完成时间 2013-6-28 集成电路课程设计

课程设计(报告)任务书

(理 工 科 类)

Ⅰ、课程设计(报告)题目:

简易直接数字频率合成器(DDS)前端设计

Ⅱ、课程设计(论文)工作内容

一、课程设计目标

1、培养综合运用知识和独立开展实践创新的能力以及同组之间合作的能力; 2、学习直接数字频率合成器(DDS)相关知识,进行系统构架设计、模块划分和算法分析,并使用Verilog HDL设计一简易直接数字频率合成器,要求具有根据输入的控制字的不同输出不同频率的正弦信号的功能;

3、能够对分析、测试、解决实际的数字电路问题加深理解,学以致用,增强动

手能力,为今后能够独立进行设计工作打下一定的基础; 二、研究方法及手段应用

1、学习直接数字频率合成器(DDS)相关知识,确定电路需要实现的功能,分别编写各个功能模块,主要有相位累加器模块、正弦查询表模块和主模块; 2、利用仿真软件测试各个模块功能的正确性;

3、将各模块综合起来,实现整体功能并采用软件验证; 三、课程设计预期效果 1、完成实验环境搭建;

2、完成DDS的功能设计与综合;

3、完成modelsim软件仿真,确定程序代码正确性;

4、在理论学习和具体实践中达到对DDS的正确理解。

学生姓名: 毛石磊 专业年级: 电子科学与技术 2010级

- 2 -

集成电路课程设计

摘 要

直接数字频率合成技术(Direct Digital Synthesize,DDS)是继直接频率合成技术和锁相式频率合成技术之后的第三代频率合成技术。它采用全数字技术,并从相位角度出发进行频率合成。目前,DDS的设计大多是应用HDL(Hardware Description Language)对其进行逻辑描述,整个设计可以很容易地实现参数改变和设计移植,给设计者带来很大的方便。Verilog HDL就是其中一种标准化的硬件描述语言,它不仅可以进行功能描述,还可以对仿真测试矢量进行设计。

此次课程设计只做软件部分,不做硬件实现,而DDS最重要的软件组成模块是相位累加模块和相位幅值转化模块(ROM)。本设计最重要的任务就是就是用verilog HDL编写相位累加模块和ROM表,然后利用modelsim实现模块的综合与仿真,最终实现功能。

【关键词】DDS 相位累加 ROM表

- 3 -

集成电路课程设计

ABSTRACT

Direct Digital frequency synthesis technology (Direct Digital Synthesize, DDS) is the third generation frequency synthesis technology after Direct frequency synthesis technology and phase lock type synthesis technology. It uses the digital technology to achieve frequency synthesis from the phase’ perspective . At present, the design of DDS mostly applicates HDL (Hardware Description Language) to make the Description logic, and the whole design can easily achieve parameters change and design transplantation, which gives the designer a lot of convenience. Verilog HDL is one of the standardization of the hardware description language, it not only can describe function,it also can describe the simulation test vector.

The course design only has the part of software , and the most important part of software components of the DDS is the phase accumulative module and Phase/amplitude transformation module (ROM). The most important task of this design is to use the verilog HDL to write phase accumulate module and ROM table, then use modelsim to complete synthesize and simulation, and finally achieve the function.

【Key words】DDS Phase accumulate ROM table

- 4 -

集成电路课程设计

第一章 系统设计

第一节 课题目标及总体方案

DDS 技术具有频率切换时间短,频率分辨率高,频率稳定度高,输出信号的频率和相位可以快速切换,输出相位可连续,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数字控制。它在相对带宽、频率转换时间、相位连续性、高分辨率以及集成化等一系列性能指标方面远远超过了传统频率合成技术。因此在现代电子系统及设备的频率源设计中,尤其在通信领域,直接数字频率合成器的应用越来越广泛。

本组根据查阅了相关的资料后,总结出了要实现DDS需要的几点关键技术: 1) rom查找表: 通过实现使用matlab或者C语言等数学工具将所需的波

形采样成为一个个离散的点,存储到rom查找表中,以便于通过相位累加的过程得到的地址取得这些采样点。

2) 相位累加器:通过送入一个频率控制字(控制相位变化的步长值),在参

考时钟的驱动下进行累加,得到地址信号,传递给rom查找表以得到相对应的采样点;还可以通过输入一个相位控制字来调整波形的初始相位,但本组的作品不涉及相位控制。

3) 数模转换:由于通过rom查找表得到的信号是离散的采样点,必须通过

DA转换器才能变成连续变化的模拟量,同时,由于产生的波形中含有很多高阶的杂波,导致产生的波形会有很多毛刺,通过在DA后加一级低通滤波电路可以滤掉杂波,得到一个比较理想的正弦波。

- 5 -