完整word版,FPGA入门及Quartus II使用教程(内部资料).. 下载本文

内容发布更新时间 : 2024/6/6 16:17:18星期一 下面是文章的全部内容请认真阅读。

单击Matlab界面上的快捷键图所示。

(Simulink)可以打开Simulink的库文件,如

上图即为Simulink的库浏览器(Library Browser),在库浏览器的左侧是Simulink Library列表,右侧是选中的Library中的组件、子模块列表。

其中左侧Library列表中的“Simulink”库是Simulink的基本模型库。当安装完DSP Builder v9.1后,在Simulink的库浏览器中可以看到多出的两个库文件:“Altera DSP Builder Advanced Blockset”和“Altera DSP Builder Blockset”。在以下的DSP Builder应用中,主要是使用“Altera DSP Builder Blockset”库中的组件、子模型来完成各项设计,再使用Simulink完成模型的仿真验证。

4. Simulink的模型文件

在打开Simulink库浏览器后,需要新建一个Simulink的模型文件(后缀为mdl),如图,在Simulink的库浏览器中选择“File”菜单,在出现的菜单项中选择“New”,在弹出的子菜单项中选择新建模型“Model”即可,或者通过直接单击界面上的

打开一个空白文件。

5、添加正弦产生模块

如下图,点击Simulink库浏览器左侧的库内树形列表中的“Simulink”条,使其库器件展开,这时会出现一长串树形列表,对基本模型库的子模块(Block)进行了分组。再次点击其中的“Sources”项,选 中库浏览器右侧的“Sine Wave”组件,按住鼠标左键并拖动“Sine Wave”模块到新模型窗口中。

该模块即为BFSK调制的一个输入载波,为了便于确认,双击模块下方的名字“Sine Wave”,将其修改为“fc1”。

双击该模块可以得到“Source Block Parameters: fc1”的对话框,这里包括了该模块功能的介绍,以及各个相关参数的设定。修改参数设置如上图,其中幅度(Amplitude)为2^10-1,表示输入信号位宽(Number of Bits)为11位;频率(Frequency(rad/sec))设定为263.158kHz;初始相位为pi/2表示产生余弦波;由于采样频率为2MHz,采样时间(Sample time)设为1/2000000。设置完成后点击“OK”即可。

可以利用同样的方法放置并设置BFSK调制的另一个输入载波,频率为277.778kHz,其余参数相同即可。

6、添加输入端口模块

如下图,点击Simulink库浏览器左侧的库内树形列表中的“Altera DSP Builder Blockset”条,选择其中的“IO & Bus”项并展开,选中库浏览器右侧的“Input”模块,同样按住鼠标左键将其拖动到模型窗口中。

将该输入端口名称改为“SinIn1”,双击模块,得到如下图的参数设置对话框,设置如下,总线类型(Bus Type)选择有符号整数(Signed Integer),输出位宽(number of bits)设定为11位。

下面把这两个模块连接起来,将鼠标的指针移动到模块的输入或输出端口上,鼠标指针就会变成十字形“+”,这时按住鼠标左键,拖动鼠标就可以连线了。或者先按住“Ctrl”键,然后用鼠标单击第一个模块fc1,再单击第二个模块SinIn1,则会自动产生连线,连线后如图所示。

7、完成BFSK调制模型 按照上述方法,依照BFSK调制系统的原理框图,可以逐步添加各个功能模