Quartus - II使用教程-完整实例 下载本文

内容发布更新时间 : 2024/6/28 13:56:45星期一 下面是文章的全部内容请认真阅读。

语法检查成功,没有error级别以上的错误 该窗口显示了语法检查后的详细信息,包括所使用的io口资源的多少等内容,相应的英文名大家可以自己查阅

点击确定完成语法检查

第六步:(锁定引脚,点击工具栏的

(pin planner))

(注:如果不下载到开发板上进行测试,引脚可以不用分配)

各个端口的输入输出顶层某块的输入输出口与物理的芯片端口想对应

双击location 为您的输入输出配置引脚。

6

第七步:整体编译(工具栏的按钮

(start Complilation))

该窗口给出综合后代码的资源使用情况既芯片型号等等信息。 选择为使用端口选项卡 第八步:功能仿真(直接利用quratus进行功能仿真)

1 将仿真类型设置为功能仿真(Assignments>setting>Simulator Settings>下拉>Function)

7

Functional表示功能仿真,既不包括时序信息,timinng表示时序仿真。加入线及寄存器的延时信息

2 建立一个波形文件:

(new>Vector Waveform File)

添加波形文件作为信号输出文件,以便观察信号的输出情况

8

然后导入引脚(双击Name下面空白区域>Node Finder>list>点击):

双击弹出右边的对话框 点击如下图添加信号 点击产生端口列表

接下来设置激励信号(单击

>选择

>Timing>Multiplied by 1)

设置仿真的开始及结束时间 设置输入信号周期 我们自定义的输入信号

设置b信号源的时候类同设置a信号源,最后一步改为Multiplied by 2 9

然后要先生成仿真需要的网表(工具栏processing>Generate Functional Simulation Netlist)

接下来开始仿真(仿真前要将波形文件保存,点击工具栏

开始仿真):

10

由a,b 两个信号经过我们设计的模块产生的结果

观察波形,刚好符合我们的逻辑。功能仿真通过。

第九步:下载(点击

(Programmer),再点击Hardware Setup配置下载电缆,

单击弹出窗口的“Add Hardware”按钮,选择并口下载ByteBlasterMV or

ByteBlasterMVⅡ,单击“Close”按钮完成设置。CPLD器件生成的下载文件后缀名为.pof,点击下图所示方框,选中下载文件,然后直接点击start按钮开始下载)

下载进度条 下载是该选项必须打勾 点击该按钮开始下载

完!

11