EDA脉冲宽度测量仪 实验报告. 下载本文

内容发布更新时间 : 2024/4/29 2:07:04星期一 下面是文章的全部内容请认真阅读。

湖南涉外经济学院

课程设计报告

课程名称: EDA技术与应用

报告题目: 脉冲宽度测量仪 学生姓名: 刘君玮

所在学院: 电子科学与信息学院 专业班级: 电子信息1302 学生学号: 134110229 指导教师: 罗志年 李刚

2015 年 12 月 24 日

EDA课程设计任务书

报告题目 专业班级 脉冲宽度测量仪 电信1302 罗志年 李刚 完成时间 12.23- 1.11 学生姓名 刘君玮 指导教师 设计目的 职称 副教授 讲师 在电子技术及其应用领域中,常需要对各种系统工作的时间特性进行分析测量,如图一给出的这种时间特性示意图,其中t表示时间脉冲宽度,即指脉冲起始时间和终止时间的持续时间。T表示一个工作周期,即从脉冲的一个上升沿到下一个上升的时间。 tT图一 脉冲宽度与周期 在测量与仪器仪表领域,经常需要对数字信号的脉冲宽度进行测量,如转速传感器、外部系统的门控与选通脉冲,以及PWM (脉冲宽度调制)输入的频率等。因此可以说脉冲宽度和周期是关于脉冲的重要指标。无论是模似电路还是数字电路,往往都需要对脉冲宽度进行测量。 设计内容

(1)脉冲信号宽度的测量精度为±1ms。 (2)脉冲信号宽度的测量范围为0~10s。 (3)调试过程中可以用按键模拟脉冲信号。 (4)测量值用5位数码管显示(可以采用静态显示)。 (5)输入信号为标准TTL电平。 (6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。 (7)必须先进行前仿真,并打印出仿真波形。 (8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。 设计步骤