东北大学数字系统实验报告38译码器和60进制计数器 下载本文

内容发布更新时间 : 2024/9/23 5:15:48星期一 下面是文章的全部内容请认真阅读。

3-8译码器电路设计实验报告

1、实验目的

1)复习二进制译码器的功能。

2)学习VHDL语言源程序输入方法。 3) 学习VHDL语言源程序检查和修改。

4)掌握用VHDL语言设计一个3线-8线译码器的方法。 5)掌握VHDL语言编辑器的基本操作。

2、实验原理

译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路称为译码器。译码器输出与输入代码有唯一的对应关系。常用的译码器有二进制译码器、二十进制译码器、显示段译码器等等。

3线—8线译码器是二进制译码器的一种。其输人为一组三位二进制代码,而输出则对应—路高、低电平信号。图2-3示出了3线—8线译码器74138的逻辑图。

其中A、B、C为三位二进制代码输人端。Y0-Y7是八个输出端,G1、G2A、G2B为三个输入控制端。只有当G1=1,G2A=0,G2B=0时,译译码器才处于工作状态。否则、译码器将处在禁止状态,所有输出端全为高电平。其对应的真值表如下表所示。

3、实验内容

1)本实验给出了有错误的3线—8线译码器的VHDL程序,请采用VHDL编辑器,修改调试程序。

2)仿真3线—8线译码器的设计。

4、实验设备

1)清华同方PⅣ 2.4G\\256M60G 2)ISE 6.2i—Windows软件系统

5、实验程序

6、仿真波形