EDA课程关于DDS的简单设计基于Verilog HDL 下载本文

内容发布更新时间 : 2024/6/3 4:22:17星期一 下面是文章的全部内容请认真阅读。

基于DDS的正弦信号发生器

设计报告

院 系: 自动化工程学院电子学系 专 业: 电子信息科学与技术 班 级: 2009级2班 姓 名: 田福超

2011年6月30日

起止日期 2011.6.23——20116.30 DDS实现原理与应用基于Verilog HDL语言 设计题目 设 计 要 求 1, 设计一可调节的信号发生器。 2, 实现正弦波的输出。 3, 实现频率可调,如调节频率倍数10。 4, 用Verilog HDL语言对此DDS发生器进行建模。 5, 用Quartus 2软件进行仿真测试。 6, 设计可控的正交信号发生器,即使电路输出两路信号,相互正交,一路正弦信号,一路余弦信号,相位差差90度 1,设计目的:

1,学习利用EDA技术和FPGA实现直接数字频率综合器DDS的设计。

2,掌握基本的设计方法,利用基本的设计思想独立的设计出完整的课题 3,DDS(Direct Digital Synthesizer)即直接数字合成器,是一种新型的频率 合成技术。具有较高的频率分辨率,可以实现快速的频率转换,并且在改变时能够保持相位的连续,很容易实现频率,相位和幅度的数控调制。因此,数字频率合成器广泛应用于现代电子系统及设备中,很重要。