FIR滤波器VHDL实验报告 下载本文

内容发布更新时间 : 2024/6/28 19:20:43星期一 下面是文章的全部内容请认真阅读。

EDA技术及应用

实 验 报 告

FIR滤波器的设计

学班学指

名 级 号 师

张 志 翔 电子信息工程1203班

12401720522

2015.5.9

FIR滤波器的设计

1.实验目的

(1)学习VHDL语言的综合设计应用 (2)学习设计FIR滤波器 2.实验内容

根据如图所示的转置FIR滤波器原理设计一个长度为4DaubechiesDB4转置FIR滤波器的设计。

X(n)f[L-1]f[L-2]f[L-3]f[L-0]Y(n)+++3.实验条件

(1)开发软件:Quartus II 8.0。

(2)实验设备:GW48-CK EDA实验开发系统。 (3)拟用芯片:EP3C55F484C8 4.实验设计 1)VHDL源程序 LIBRARY LPM;

USE LPM.LPM_COMPONENTS.ALL; LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY FIR IS

GENERIC(W1:INTEGER:=9; W2:INTEGER:=18; W3:INTEGER:=19; W4:INTEGER:=11; L:INTEGER:=4; MPIPE:INTEGER:=3); PORT(CLK:IN STD_LOGIC; LOAD_X:IN STD_LOGIC;

X_IN:IN STD_LOGIC_VECTOR(W1-1 DOWNTO 0); C_IN:IN STD_LOGIC_VECTOR(W1-1 DOWNTO 0); Y_OUT:OUT STD_LOGIC_VECTOR(W4-1 DOWNTO 0)); END ENTITY FIR;

ARCHITECTURE ART OF FIR IS

SUBTYPE N1BIT IS STD_LOGIC_VECTOR(W1-1 DOWNTO 0); SUBTYPE N2BIT IS STD_LOGIC_VECTOR(W2-1 DOWNTO 0); SUBTYPE N3BIT IS STD_LOGIC_VECTOR(W3-1 DOWNTO 0); TYPE ARRAY_N1BIT IS ARRAY (0 TO L-1) OF N1BIT; TYPE ARRAY_N2BIT IS ARRAY (0 TO L-1) OF N2BIT; TYPE ARRAY_N3BIT IS ARRAY (0 TO L-1) OF N3BIT;