Modelsim简单教程. 下载本文

内容发布更新时间 : 2024/5/3 22:28:38星期一 下面是文章的全部内容请认真阅读。

Modelsim入门技术文档

单位:深圳大学EDA中心实验室 指导老师:徐渊 作者:陈战夫 rshamozhihu@yahoo.com.cn 时间:2010-1-7

说明:本文档作为EDA中心研究生modelsim入门用。分三个章节阐述。

1. modelsim中库的编译

1. 自己新建一个文件夹,如D:\\modelsimpro\\counter,将counter.v与counter_tb.v文件拷贝

到该文件夹下。

2. 点击modelsim的图标,打开modelsim的界面窗口。

3. 选择File > Change Directory,选择步骤1中的目录,点击OK。

4. 选择File > New > Library。

5. 在library name与library physical name两栏中均填work.点击OK。(在transcript窗口中

可看到vlib work vmap work work)。

6. 选择Compile > Compile,出现如下窗口。同时选中counter.v与counter_tb.v两个文件。

7. 点击compile.再点击done.

8. 在library标签点击work库前面的“+”号,可看到counter.v与counter_tb.v均在其目录

下。

9. 双击counter_tb.v可将该设计装载到本次工程库中。

10. 选择View > Debug Windows >wave.将弹出波形窗口。 11. 在workspace窗口下点击sim标签。

12. 右击counter_tb.v,在出现的菜单中选择Add > Add to Wave。

13. 在transcript窗口中VSIM>后敲入run 1000.则系统将仿真1000ns.我们可根据波形查看仿

真结果。

14. 在workspace窗口中点击files标签,鼠标左键双击counter.v,打开counter.v文件。 15. 在第10行数字10旁鼠标左键点击一下,可出现一小红点,即断点。(再次右击断点处,

在出现的菜单下选择 remove breakpoint 即可取消该断点的设置)。

16在transcript窗口中敲restart,点击restart.系统将重新仿真。

17.在在transcript窗口中敲run 1000,可查看结果。程序将在第10行处停下来。出现如下所示。

18.点击

,可实现单步调试。

19,重新设置断点,仿真,配合单步调试,直到达到自己想要的调试和仿真结果。