EDA课程设计—— 篮球球比赛计分器 下载本文

内容发布更新时间 : 2024/5/21 2:37:36星期一 下面是文章的全部内容请认真阅读。

<<电子设计自动化EDA技术>>课程

设计报告

题目: 篮球比赛记分牌

姓 名: 院 系: 专 业: 学 号: 指导教师:

完成时间:

年 月

设计题目 篮球比赛记分牌 用PLD器件EP1K10TC100-3及7段译码显示数码管,设计一个篮球比赛记分牌,具体要求如下: 设计要求 1、 根据比赛实际情况记录两队得分,罚球进的1分,进球的2分; 2、 记分牌要具有纠错功能,能减1分、2分功能; 3、 利用3个译码显示管输出比赛的分; (包括:设计方案`上机设计与仿真结果`硬件实验方案,及实验结果`收获`和体会) EDA技术以硬件描述语言来描述系统级设计,采用自顶向下的设计方法,并支持系统仿真和高层综合。VHDL语言具有具有很强的行为描述能力和多层次描述硬件功能的能力,是系统设计领域中使用最多的硬件描述语言之一;具有标准、规范等优势,能在设计的各个阶段对电路系统进行仿真和模拟,使设计者在系统的设计早期就能检查设计系统的功能,极大的减少了可能发生的错误,减少了开发成本。 设计方案: 利用一个D触发器,3个4位二进制全加器,一个二选一数据选择器,3个七段译码显示管组成电路,此电路具有加减、复位、显示等功能。能够满足比赛的实际要求。 设计过程 指导教师评语 评定成绩 课程设计等级

1

目录

1 课程设计题目`内容与要求……………………… 1.1 设计内容 1.2 具体要求

2系统设计………………………… 2.1 设计思路 2.2 系统原理

3 系统实现……………………………………………

4 系统仿真……………………………………………

5硬件验证(操作)说明………………………………

6 总结…………………………………………………

7参考书目……………………………………………

2