数字时钟毕业设计说明书 下载本文

内容发布更新时间 : 2024/5/1 9:13:56星期一 下面是文章的全部内容请认真阅读。

毕业设计说明书

基于FPGA的数字时钟设计

王纵磊 0801054129学生姓名: 学号: 机电工程学院

学 院: 探测制导与控制技术 专 业: 李世中 指导教师:

2012年 06 月

中北大学2012届毕业设计说明书

基于FPGA的数字时钟设计

摘要

当今社会是数字化社会,是数字电路广泛集成的社会。为了给人们提供更准确的计

时系统。我们研发了这款基于FPGA的数字时钟。

本论文的最终落脚点是通过FPGA借助VHDL以及相关软件完成数字时钟的设计。基于FPGA的数字钟的设计采用硬件描述语言VHDL作为设计输入,可以在数字钟设计的各个阶段、各个层次进行计算机模拟仿真,保证设计过程的正确性,从而大大降低设计成本,缩短设计周期,不承担投片风险。相对于传统数字钟,该数字钟采用纯硬件系统,具有可靠性高,可移植性强等优点。

关键词: 数字时钟,FPGA,VHDL,Quartus II,EDA

中北大学2012届毕业设计说明书

FPGA-based digital clock design

Summary

Today's society a digital society, is a wide range of integrated digital circuits society. In

order to provide more accurate timing system. We developed this FPGA-based digital clock. The ultimate goal of this paper is a complete digital clock in the FPGA with the VHDL and related software design. Based on the digital clock in the FPGA design using hardware description language VHDL design entry, computer simulation in various stages of the design of the digital clock, at all levels, to ensure the correctness of the design process, thereby greatly reducing design costs and shorten the design cycle, not bear the risks of the tapeout. Compared with traditional digital clock, the digital clock uses pure hardware system with high reliability, and portability advantages.

Keywords: digital clock, FPGA, VHDL, the Quartus II,EDA

中北大学2012届毕业设计说明书

目录

1 绪论????????????????????????????? 1 1.1 课题研究背景???????????????????????? 1 1.2 国内外发展状况??????????????????????? 2 1.3 论文研究主要内容?????????????????????? 3 1.4 论文特色?????????????????????????? 4 2 方案介绍??????????????????????????? 5 3 脉冲电路实现????????????????????????? 6 3.1 五万分频器电路实现????????????????????? 6 3.2 一千分频器电路实现????????????????????? 7 4 计数电路实现????????????????????????? 9 4.1 秒计数器电路的实现????????????????????? 9 4.2 分计数器电路的实现????????????????????? 10 4.3 时计数器电路的实现????????????????????? 12 5 扫描显示电路实现??????????????????????? 14 5.1 六位动态扫描显示电路的工作原理??????????????? 14 5.2 动态扫描显示电路所需基本模块的实现????????????? 15 5.2.1 六进制计数器电路的实现?????????????????? 15 5.2.2 3-8译码器电路的实现??????????????????? 16 5.2.3 24选4数据选择器和数码管扫描控制模块的电路实现??????5.2.4 七段显示译码器的电路实现????????????????? 20 5.3 动态扫描显示电路的具体实现????????????????? 21 6 数字钟电路的实现??????????????????????? 23 7 总结????????????????????????????? 25 8 附录????????????????????????????? 26 9 参考文献??????????????????????????? 36 10 致谢????????????????????????????? 38

18

中北大学2012届毕业设计说明书

1绪论

1.1课题研究背景

当今社会是数字化社会,是数字电路广泛集成的社会。数字电路本身在不断地进行更新换代。随着电子技术的发展以及应用的普及,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计ASIC(专用集成电路)芯片,而且希望ASIC的设计周期尽可能短,因而出现了现场可编程逻辑器件,其中应用最广泛的当属复杂可编程逻辑器件(CPLD)和现场可编程门列阵(FPGA)[1]。现场可编程门列阵FPGA器件是Xilinx公司1985年首先推出的。它是一种新型高密度PLD,采用CMOS-SRAM工艺制作[2]。目前以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器或者其他更加完整的记忆块。

FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误。在一些技术更新比较快的行业,FPGA几乎是电子系统中的必要部件,因为在大批量供货前,必须迅速抢占市场,这时FPGA方便灵活的优势就显得很重要。此外, FPGA也是电子设计领域最具有活力和发展前途的一项技术,他的影响丝毫不亚于20世纪70年代单片机的发明和使用。可以毫不夸张地讲,FPGA能完成任何数字器件的功能,例如简单的74电路和高性能的CPU都可以用它来实现。随着EDA软件和硬件描述语言(HDL)的进步FPGA的开发周期越来越短,产品的功能越来越强。

本论文是基于FPGA的数字时钟的设计。数字时钟是一种用数字电路技术实现时、分、秒计时的装置。更确切的说,数字钟实际上是一对标准1HZ信号进行计数的电路[4]。它与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字时钟的设计是FPGA应用领域之一,本论文的最终落脚点是通过FPGA借助VHDL以及相关软件完成数字时钟的设计。VHDL是一种硬件描述语言,它可以对电子电路和系统的行为进行描述[5]。基于FPGA的数字钟的设计采用硬件描述语言

1

[3]