EDA VHDL 4位全加器实验报告解析 下载本文

内容发布更新时间 : 2024/3/28 16:49:52星期一 下面是文章的全部内容请认真阅读。

姓名:车琳 班级:通信1101班 学号:0121109320130

实验一 用原理图输入法设计4位全加器

一、实验目的

1)熟悉利用Quartus II 的原理图输入方法设计简单组合电路; 2)掌握层次化设计的方法;

3)通过一个4位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。

二、实验内容

1)完成半加器、全加器和4位全加器的设计,包括原理图输入、编译、综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设计成一个硬件符号入库。键1、键2、键3(PIO0/1/2)分别接ain、bin、cin;发光管D2、D1(PIO9/8)分别接sum和cout。

2)建立一个更高的层次的原理图设计,利用以上获得的1位全加器构成的4位全加器,并完成编译、综合、适配、仿真和硬件测试。建议选择电路模式1:键2、键1输入4位加数:键4、键3输入4位被加数:数码6和数码5显示加和:D8显示进位cout。

三、实验仪器

1)计算机及操作系统; 2)QuartusII软件; 3)编程电缆。

四、实验原理

一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出 cout 与相邻的高位加法器的最低进位输入信号 cin 相接。

一个1位全加器可以参考教材介绍的方法来完成。1位全加器示意图如图1所示。

其中,其中CI为输入进位位,CO为输出进位位,输入A和B分别为加数和被加数。S为输出和,其功能可用布尔代数式表示为:

S?ABCi?ABCi?ABC?ABCiCo?ABCi?ABCi?ABCi?ABCi

五、实验步骤

1、完成半加器和全加器的设计,包括原理图输入、编译、综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设计成一个硬件符号入库。键1、键2、键3(PIO0/1/2)分别接ain、bin、cin;发光管D2、D1(PIO9/8)分别接sum和cout。

2、建立一个更高层次的原理图设计,利用以上获得的1位全加器构成4位全加器,并完成编译、综合、适配、仿真、和硬件测试。建议选择电路模式1(附图F-2):键2、键1输入4位加数:键4、键3输入4位被加数:数码6和数码5显示加和:D8显示进位cout。 1、一位全加器设计输入

1)创建文件。采用File\\new菜单,创建图形文件。

2)添加元件。采用菜单symbol\\enter symbol,在弹出的对话框中选中相应的库与元件,添加元件,构成一位全加器并保存。 2、一位全加器元件的创建 1)对上述文件编译综合仿真。 2)生成元件。

3)采用菜单FILE\\CREATE SYMBOL生成一位全加器元件。

3、4位全加器设计输入

1)创建文件。采用File\\new菜单,创建图形文件。

2)添加元件。采用菜单symbol\\enter symbol,选中生成的元件yiweiadder。 3)级联。前级1位全加器CO与后级CI相连。 4、添加I/O 5、设计编译

6、器件选择及管脚分配 7、设计仿真 8、时序分析 9、编程下载

六、实验结果及分析

1、半加器原理图如图2所示:

图1 半加器原理图

半加器仿真波形图如下图3所示:

图2 半加器仿真波形图

2、一位全加器原理图如图4所示:

图3 全加器原理图

一位全加器仿真波形如图5所示:

图4 全加器仿真波形图

3、四位全加器原理图如图6所示:

图5 四位全加器原理图

四位全加器仿真波形图如图7所示。从波形可以得出,输入输出满足表达式S=A+B+CI,S>15时进位位置‘1’,设计电路功能达到设计要求。

图6 四位全加器仿真波形图

结论:采用图形编程法实现了四位全加器的设计,并完成了电路的设计编译、综合、逻辑仿真。

实验二 8位十六进制频率计设计

一、实验目的

1)熟悉原理图输入法中74系列等宏功能元件的使用方法; 2)掌握更复杂的原理层次化设计和数字系统设计方法; 3)完成8位16进制频率计的设计。

二、实验内容

首先完成2位频率计的设计,然后进行硬件测试,建议选择电路模式2(附录图F-3);数码2和1显示输出频率值,带测频率F_IN接clock0;测频控制是