基于VHDL的交通灯设计EDA课程设计报告 下载本文

内容发布更新时间 : 2024/5/29 22:23:26星期一 下面是文章的全部内容请认真阅读。

基于VHDL的交通灯设计EDA课程设计

报告

1

2020年4月19日

文档仅供参考,不当之处,请联系改正。

EDA课程设计实验报告

交通信号控制器的VHDL的设计

一、设计任务及要求:

设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求:

(1) 交通灯从绿变红时,有

4秒黄灯亮的间隔时间;

(2) 交通灯红变绿是直接进行的,没有间隔时间; (3) 主干道上的绿灯时间为

40秒,支干道的绿灯时间为20秒;

(4) 在任意时间,显示每个状态到该状态结束所需的时间。

支干道

主干道

图1 路口交通管理示意图

A B 2020年4月19日

C D 2

文档仅供参考,不当之处,请联系改正。

主干道交通灯 绿(40秒) 黄(4秒) 红(20秒) 红(4秒) 支干道交通灯 红 红 绿 黄 表1 交通信号灯的4种状态

设计要求:

(1) 采用

VHDL语言编写程序,并在QUARTUSII工具平台中进行

仿真,下载到EDA实验箱进行验证。

(2) 编写设计报告,要求包括方案选择、程序清单、调试过程、

测试结果及心得体会。

二 设计原理

1、设计目的:

学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。经过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2’设计说明

(1)第一模块:clk时钟秒脉冲发生电路

在红绿灯交通信号系统中,大多数情况是经过自动控制的方式指挥交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号:

Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号;

3

2020年4月19日

文档仅供参考,不当之处,请联系改正。

(2)第二模块:计数秒数选择电路

计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明:

系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号; 系统输出信号: tm: 产生显示电路状态转换信号 tl:倒计数值 秒数个位变化控制信号 th:倒计数值 秒数十位变化控制信号

(3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明:

系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号;

tm: 接收计数秒数选择电路状态转换信号; 系统输出信号: comb_out: 负责红绿灯的状态显示。

(4)第四模块:时间显示电路

本电路负责红绿灯的计数时间的显示。 模块说明:

系统输入信号:tl:倒计数值 秒数个位变化控制信号; th:倒计数值 秒数十位变化控制信号;

4

2020年4月19日

文档仅供参考,不当之处,请联系改正。

系统输出信号: led7s1: 负责红绿灯的显示秒数个位。 led7s2: 负责红绿灯的显示秒数十位。

三、设计方案

定时时间到检测

状态发预置数时间显示数据输出 生器计时器

红黄绿灯信号输出红黄绿灯输

1秒时钟脉出 图2 交通信号灯控制器的原理框图

采用VHDL语言输入的方式实现交通信号灯控制器

CLK 秒脉冲计数器 状态寄 信号发(进程时间显示数据输出存器 次态发生器 信号灯输出 信号灯输出

2020年4月19日

5