基于VHDL的交通灯设计EDA课程设计报