VHDL语言实现四位除法器 -