Verilog实现串口通信(好)