Verilog设计求最大公约数系统 -