基于VHDL的fpga数字频率计设计&n