基于VHDL的交通灯控制器设计