基于vhdl 的简单信号发生器的设计资料